first commit
This commit is contained in:
parent
560fb7422e
commit
76fd98a7c5
|
@ -0,0 +1,21 @@
|
|||
#+STARTUP: showall
|
||||
* Emacs Setup
|
||||
Most of the configuration is done in the init.el file.
|
||||
The main purpose of this is repo is to setup emacs the way I want it on a fresh install.
|
||||
That being said I hope you find something worthwhile here
|
||||
|
||||
* Packages I Use
|
||||
- try
|
||||
- which-key
|
||||
- counsel
|
||||
- swiper
|
||||
- auto-complete
|
||||
|
||||
* Personal Preferences
|
||||
- Name and Email
|
||||
- Default Start-up message has been disabled
|
||||
- Ido mode enabled by default
|
||||
- ibuffer enabled by default
|
||||
- Emacs toolbar disabled by default
|
||||
- windmove enabled by default
|
||||
- linum-mode enabled by default
|
|
@ -0,0 +1,20 @@
|
|||
* Emacs Setup by Abraham Raji
|
||||
Most of the configuration is done in the init.el file.
|
||||
The main purpose of this is repo is to setup emacs the way I want it on a fresh install.
|
||||
That being said I hope you find something worthwhile here
|
||||
|
||||
* Packages I Use
|
||||
** try
|
||||
** which-key
|
||||
** counsel
|
||||
** swiper
|
||||
** auto-complete
|
||||
|
||||
* Personal Preferences
|
||||
** Name and Email
|
||||
** Default Start-up message has been disabled
|
||||
** Ido mode enabled by default
|
||||
** ibuffer enabled by default
|
||||
** Emacs toolbar disabled by default
|
||||
** windmove enabled by default
|
||||
** linum-mode enabled by default
|
|
@ -0,0 +1,2 @@
|
|||
((("for" .
|
||||
[0 0 1])))
|
|
@ -0,0 +1,4 @@
|
|||
/home/guyfawkes/Documents/code/test.org
|
||||
/home/guyfawkes/Documents/code/#test.org#
|
||||
/home/guyfawkes/.emacs.d/init.el
|
||||
/home/guyfawkes/.emacs.d/#init.el#
|
|
@ -0,0 +1,2 @@
|
|||
/home/guyfawkes/Documents/code/#test.org#
|
||||
/home/guyfawkes/Documents/code/##test.org##
|
|
@ -0,0 +1,6 @@
|
|||
/home/guyfawkes/Documents/Emacs/refernce.txt
|
||||
/home/guyfawkes/Documents/Emacs/#refernce.txt#
|
||||
/home/guyfawkes/Documents/code/pyt.py
|
||||
/home/guyfawkes/Documents/code/#pyt.py#
|
||||
/home/guyfawkes/Documents/code/test.c
|
||||
/home/guyfawkes/Documents/code/#test.c#
|
|
@ -0,0 +1,18 @@
|
|||
This global minor mode provides a simple way to switch between layouts and
|
||||
the buffers you left open before you switched (unless you closed it).
|
||||
|
||||
It doesn't require any setup at all more than:
|
||||
(0blayout-mode)
|
||||
|
||||
When you start Emacs with 0blayout loaded, you will have a default layout
|
||||
named "default", and then you can create new layouts (<prefix> C-c), switch
|
||||
layouts (<prefix> C-b), and kill the current layout (<prefix> C-k).
|
||||
The default <prefix> is (C-c C-l), but you can change it using:
|
||||
(0blayout-add-keybindings-with-prefix "<your prefix>")
|
||||
|
||||
You can also customize-variable to change the name of the default session.
|
||||
|
||||
The project is hosted at https://github.com/etu/0blayout
|
||||
There you can leave bug-reports and suggestions.
|
||||
|
||||
Another comparable mode is eyebrowse which have been developed for longer.
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1 @@
|
|||
Good signature from 474F05837FBDEF9B GNU ELPA Signing Agent <elpasign@elpa.gnu.org> (trust undefined) created at 2018-09-01T14:40:02+0530 using DSA
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because one or more lines are too long
File diff suppressed because one or more lines are too long
|
@ -0,0 +1,2 @@
|
|||
(1 (org . [(20180827) ( ) "Outline-based notes management and organizer" tar])
|
||||
(org-plus-contrib . [(20180827) ( ) "Outline-based notes management and organizer" tar]))
|
|
@ -0,0 +1,71 @@
|
|||
;;; auto-complete-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "auto-complete" "auto-complete.el" (0 0 0 0))
|
||||
;;; Generated autoloads from auto-complete.el
|
||||
|
||||
(autoload 'auto-complete "auto-complete" "\
|
||||
Start auto-completion at current point.
|
||||
|
||||
\(fn &optional SOURCES)" t nil)
|
||||
|
||||
(autoload 'auto-complete-mode "auto-complete" "\
|
||||
AutoComplete mode
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(defvar global-auto-complete-mode nil "\
|
||||
Non-nil if Global Auto-Complete mode is enabled.
|
||||
See the `global-auto-complete-mode' command
|
||||
for a description of this minor mode.
|
||||
Setting this variable directly does not take effect;
|
||||
either customize it (see the info node `Easy Customization')
|
||||
or call the function `global-auto-complete-mode'.")
|
||||
|
||||
(custom-autoload 'global-auto-complete-mode "auto-complete" nil)
|
||||
|
||||
(autoload 'global-auto-complete-mode "auto-complete" "\
|
||||
Toggle Auto-Complete mode in all buffers.
|
||||
With prefix ARG, enable Global Auto-Complete mode if ARG is positive;
|
||||
otherwise, disable it. If called from Lisp, enable the mode if
|
||||
ARG is omitted or nil.
|
||||
|
||||
Auto-Complete mode is enabled in all buffers where
|
||||
`auto-complete-mode-maybe' would do it.
|
||||
See `auto-complete-mode' for more information on Auto-Complete mode.
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "auto-complete" '("auto-complete-mode" "ac-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;;;### (autoloads nil "auto-complete-config" "auto-complete-config.el"
|
||||
;;;;;; (0 0 0 0))
|
||||
;;; Generated autoloads from auto-complete-config.el
|
||||
|
||||
(autoload 'ac-config-default "auto-complete-config" "\
|
||||
|
||||
|
||||
\(fn)" nil nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "auto-complete-config" '("ac-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;;;### (autoloads nil nil ("auto-complete-pkg.el") (0 0 0 0))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; auto-complete-autoloads.el ends here
|
|
@ -0,0 +1,551 @@
|
|||
;;; auto-complete-config.el --- auto-complete additional configuations
|
||||
|
||||
;; Copyright (C) 2009, 2010 Tomohiro Matsuyama
|
||||
|
||||
;; Author: Tomohiro Matsuyama <m2ym.pub@gmail.com>
|
||||
;; Keywords: convenience
|
||||
;; Version: 1.5.0
|
||||
|
||||
;; This program is free software; you can redistribute it and/or modify
|
||||
;; it under the terms of the GNU General Public License as published by
|
||||
;; the Free Software Foundation, either version 3 of the License, or
|
||||
;; (at your option) any later version.
|
||||
|
||||
;; This program is distributed in the hope that it will be useful,
|
||||
;; but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
;; GNU General Public License for more details.
|
||||
|
||||
;; You should have received a copy of the GNU General Public License
|
||||
;; along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;;
|
||||
|
||||
;;; Code:
|
||||
|
||||
(require 'cl-lib)
|
||||
(require 'auto-complete)
|
||||
|
||||
(declare-function semantic-analyze-current-context "semantic/analyze")
|
||||
(declare-function semantic-tag-class "semantic/tag")
|
||||
(declare-function semantic-tag-function-arguments "semantic/tag")
|
||||
(declare-function semantic-format-tag-type "semantic/format")
|
||||
(declare-function semantic-format-tag-name "semantic/format")
|
||||
(declare-function yas-expand-snippet "yasnippet")
|
||||
(declare-function oref "eieio" (obj slot))
|
||||
|
||||
|
||||
|
||||
;;;; Additional sources
|
||||
|
||||
;; imenu
|
||||
|
||||
(defvar ac-imenu-index nil)
|
||||
|
||||
(ac-clear-variable-every-10-minutes 'ac-imenu-index)
|
||||
|
||||
(defun ac-imenu-candidates ()
|
||||
(cl-loop with i = 0
|
||||
with stack = (progn
|
||||
(unless (local-variable-p 'ac-imenu-index)
|
||||
(make-local-variable 'ac-imenu-index))
|
||||
(or ac-imenu-index
|
||||
(setq ac-imenu-index
|
||||
(ignore-errors
|
||||
(with-no-warnings
|
||||
(imenu--make-index-alist))))))
|
||||
with result
|
||||
while (and stack (or (not (integerp ac-limit))
|
||||
(< i ac-limit)))
|
||||
for node = (pop stack)
|
||||
if (consp node)
|
||||
do
|
||||
(let ((car (car node))
|
||||
(cdr (cdr node)))
|
||||
(if (consp cdr)
|
||||
(mapc (lambda (child)
|
||||
(push child stack))
|
||||
cdr)
|
||||
(when (and (stringp car)
|
||||
(string-match (concat "^" (regexp-quote ac-prefix)) car))
|
||||
;; Remove extra characters
|
||||
(if (string-match "^.*\\(()\\|=\\|<>\\)$" car)
|
||||
(setq car (substring car 0 (match-beginning 1))))
|
||||
(push car result)
|
||||
(cl-incf i))))
|
||||
finally return (nreverse result)))
|
||||
|
||||
(ac-define-source imenu
|
||||
'((depends imenu)
|
||||
(candidates . ac-imenu-candidates)
|
||||
(symbol . "s")))
|
||||
|
||||
;; gtags
|
||||
|
||||
(defface ac-gtags-candidate-face
|
||||
'((t (:inherit ac-candidate-face :foreground "navy")))
|
||||
"Face for gtags candidate"
|
||||
:group 'auto-complete)
|
||||
|
||||
(defface ac-gtags-selection-face
|
||||
'((t (:inherit ac-selection-face :background "navy")))
|
||||
"Face for the gtags selected candidate."
|
||||
:group 'auto-complete)
|
||||
|
||||
(defun ac-gtags-candidate ()
|
||||
(ignore-errors
|
||||
(split-string (shell-command-to-string (format "global -ciq %s" ac-prefix)) "\n")))
|
||||
|
||||
(ac-define-source gtags
|
||||
'((candidates . ac-gtags-candidate)
|
||||
(candidate-face . ac-gtags-candidate-face)
|
||||
(selection-face . ac-gtags-selection-face)
|
||||
(requires . 3)
|
||||
(symbol . "s")))
|
||||
|
||||
;; yasnippet
|
||||
|
||||
(defface ac-yasnippet-candidate-face
|
||||
'((t (:inherit ac-candidate-face
|
||||
:background "sandybrown" :foreground "black")))
|
||||
"Face for yasnippet candidate."
|
||||
:group 'auto-complete)
|
||||
|
||||
(defface ac-yasnippet-selection-face
|
||||
'((t (:inherit ac-selection-face :background "coral3")))
|
||||
"Face for the yasnippet selected candidate."
|
||||
:group 'auto-complete)
|
||||
|
||||
(defun ac-yasnippet-table-hash (table)
|
||||
(cond
|
||||
((fboundp 'yas/snippet-table-hash)
|
||||
(yas/snippet-table-hash table))
|
||||
((fboundp 'yas/table-hash)
|
||||
(yas/table-hash table))))
|
||||
|
||||
(defun ac-yasnippet-table-parent (table)
|
||||
(cond
|
||||
((fboundp 'yas/snippet-table-parent)
|
||||
(yas/snippet-table-parent table))
|
||||
((fboundp 'yas/table-parent)
|
||||
(yas/table-parent table))))
|
||||
|
||||
(defun ac-yasnippet-candidate-1 (table)
|
||||
(with-no-warnings
|
||||
(let ((hashtab (ac-yasnippet-table-hash table))
|
||||
(parent (ac-yasnippet-table-parent table))
|
||||
candidates)
|
||||
(maphash (lambda (key value)
|
||||
(push key candidates))
|
||||
hashtab)
|
||||
(setq candidates (all-completions ac-prefix (nreverse candidates)))
|
||||
(if parent
|
||||
(setq candidates
|
||||
(append candidates (ac-yasnippet-candidate-1 parent))))
|
||||
candidates)))
|
||||
|
||||
(defun ac-yasnippet-candidates ()
|
||||
(with-no-warnings
|
||||
(cond (;; 0.8 onwards
|
||||
(fboundp 'yas-active-keys)
|
||||
(all-completions ac-prefix (yas-active-keys)))
|
||||
(;; >0.6.0
|
||||
(fboundp 'yas/get-snippet-tables)
|
||||
(apply 'append (mapcar 'ac-yasnippet-candidate-1
|
||||
(condition-case nil
|
||||
(yas/get-snippet-tables major-mode)
|
||||
(wrong-number-of-arguments
|
||||
(yas/get-snippet-tables)))))
|
||||
)
|
||||
(t
|
||||
(let ((table
|
||||
(if (fboundp 'yas/snippet-table)
|
||||
;; <0.6.0
|
||||
(yas/snippet-table major-mode)
|
||||
;; 0.6.0
|
||||
(yas/current-snippet-table))))
|
||||
(if table
|
||||
(ac-yasnippet-candidate-1 table)))))))
|
||||
|
||||
(ac-define-source yasnippet
|
||||
'((depends yasnippet)
|
||||
(candidates . ac-yasnippet-candidates)
|
||||
(action . yas/expand)
|
||||
(candidate-face . ac-yasnippet-candidate-face)
|
||||
(selection-face . ac-yasnippet-selection-face)
|
||||
(symbol . "a")))
|
||||
|
||||
;; semantic
|
||||
|
||||
(defun ac-semantic-candidates (prefix)
|
||||
(with-no-warnings
|
||||
(delete "" ; semantic sometimes returns an empty string
|
||||
(mapcar (lambda (elem)
|
||||
(cons (semantic-tag-name elem)
|
||||
(semantic-tag-clone elem)))
|
||||
(ignore-errors
|
||||
(or (semantic-analyze-possible-completions
|
||||
(semantic-analyze-current-context))
|
||||
(senator-find-tag-for-completion prefix)))))))
|
||||
|
||||
(defun ac-semantic-doc (symbol)
|
||||
(with-no-warnings
|
||||
(let* ((proto (semantic-format-tag-summarize-with-file symbol nil t))
|
||||
(doc (semantic-documentation-for-tag symbol))
|
||||
(res proto))
|
||||
(when doc
|
||||
(setq res (concat res "\n\n" doc)))
|
||||
res)))
|
||||
|
||||
(defun ac-semantic-action ()
|
||||
(when (and (boundp 'yas-minor-mode) yas-minor-mode)
|
||||
(let* ((tag (car (last (oref (semantic-analyze-current-context) prefix))))
|
||||
(class (semantic-tag-class tag))
|
||||
(args))
|
||||
(when (eq class 'function)
|
||||
(setq args (semantic-tag-function-arguments tag))
|
||||
(yas-expand-snippet
|
||||
(concat "("
|
||||
(mapconcat
|
||||
(lambda (arg)
|
||||
(let ((arg-type (semantic-format-tag-type arg nil))
|
||||
(arg-name (semantic-format-tag-name arg nil)))
|
||||
(concat "${"
|
||||
(if (string= arg-name "")
|
||||
arg-type
|
||||
(concat arg-type " " arg-name))
|
||||
"}")))
|
||||
args
|
||||
", ")
|
||||
")$0"))))))
|
||||
|
||||
(ac-define-source semantic
|
||||
'((available . (or (require 'semantic-ia nil t)
|
||||
(require 'semantic/ia nil t)))
|
||||
(candidates . (ac-semantic-candidates ac-prefix))
|
||||
(document . ac-semantic-doc)
|
||||
(action . ac-semantic-action)
|
||||
(prefix . cc-member)
|
||||
(requires . 0)
|
||||
(symbol . "m")))
|
||||
|
||||
(ac-define-source semantic-raw
|
||||
'((available . (or (require 'semantic-ia nil t)
|
||||
(require 'semantic/ia nil t)))
|
||||
(candidates . (ac-semantic-candidates ac-prefix))
|
||||
(document . ac-semantic-doc)
|
||||
(action . ac-semantic-action)
|
||||
(symbol . "s")))
|
||||
|
||||
;; eclim
|
||||
|
||||
(defun ac-eclim-candidates ()
|
||||
(with-no-warnings
|
||||
(cl-loop for c in (eclim/java-complete)
|
||||
collect (nth 1 c))))
|
||||
|
||||
(ac-define-source eclim
|
||||
'((candidates . ac-eclim-candidates)
|
||||
(prefix . c-dot)
|
||||
(requires . 0)
|
||||
(symbol . "f")))
|
||||
|
||||
;; css
|
||||
|
||||
;; Copied from company-css.el
|
||||
(defconst ac-css-property-alist
|
||||
;; see http://www.w3.org/TR/CSS21/propidx.html
|
||||
'(("azimuth" angle "left-side" "far-left" "left" "center-left" "center"
|
||||
"center-right" "right" "far-right" "right-side" "behind" "leftwards"
|
||||
"rightwards")
|
||||
("background" background-color background-image background-repeat
|
||||
background-attachment background-position)
|
||||
("background-attachment" "scroll" "fixed")
|
||||
("background-color" color "transparent")
|
||||
("background-image" uri "none")
|
||||
("background-position" percentage length "left" "center" "right" percentage
|
||||
length "top" "center" "bottom" "left" "center" "right" "top" "center"
|
||||
"bottom")
|
||||
("background-repeat" "repeat" "repeat-x" "repeat-y" "no-repeat")
|
||||
("border" border-width border-style border-color)
|
||||
("border-bottom" border)
|
||||
("border-bottom-color" border-color)
|
||||
("border-bottom-style" border-style)
|
||||
("border-bottom-width" border-width)
|
||||
("border-collapse" "collapse" "separate")
|
||||
("border-color" color "transparent")
|
||||
("border-left" border)
|
||||
("border-left-color" border-color)
|
||||
("border-left-style" border-style)
|
||||
("border-left-width" border-width)
|
||||
("border-right" border)
|
||||
("border-right-color" border-color)
|
||||
("border-right-style" border-style)
|
||||
("border-right-width" border-width)
|
||||
("border-spacing" length length)
|
||||
("border-style" border-style)
|
||||
("border-top" border)
|
||||
("border-top-color" border-color)
|
||||
("border-top-style" border-style)
|
||||
("border-top-width" border-width)
|
||||
("border-width" border-width)
|
||||
("bottom" length percentage "auto")
|
||||
("caption-side" "top" "bottom")
|
||||
("clear" "none" "left" "right" "both")
|
||||
("clip" shape "auto")
|
||||
("color" color)
|
||||
("content" "normal" "none" string uri counter "attr()" "open-quote"
|
||||
"close-quote" "no-open-quote" "no-close-quote")
|
||||
("counter-increment" identifier integer "none")
|
||||
("counter-reset" identifier integer "none")
|
||||
("cue" cue-before cue-after)
|
||||
("cue-after" uri "none")
|
||||
("cue-before" uri "none")
|
||||
("cursor" uri "*" "auto" "crosshair" "default" "pointer" "move" "e-resize"
|
||||
"ne-resize" "nw-resize" "n-resize" "se-resize" "sw-resize" "s-resize"
|
||||
"w-resize" "text" "wait" "help" "progress")
|
||||
("direction" "ltr" "rtl")
|
||||
("display" "inline" "block" "list-item" "run-in" "inline-block" "table"
|
||||
"inline-table" "table-row-group" "table-header-group" "table-footer-group"
|
||||
"table-row" "table-column-group" "table-column" "table-cell"
|
||||
"table-caption" "none")
|
||||
("elevation" angle "below" "level" "above" "higher" "lower")
|
||||
("empty-cells" "show" "hide")
|
||||
("float" "left" "right" "none")
|
||||
("font" font-style font-variant font-weight font-size "/" line-height
|
||||
font-family "caption" "icon" "menu" "message-box" "small-caption"
|
||||
"status-bar")
|
||||
("font-family" family-name generic-family)
|
||||
("font-size" absolute-size relative-size length percentage)
|
||||
("font-style" "normal" "italic" "oblique")
|
||||
("font-variant" "normal" "small-caps")
|
||||
("font-weight" "normal" "bold" "bolder" "lighter" "100" "200" "300" "400"
|
||||
"500" "600" "700" "800" "900")
|
||||
("height" length percentage "auto")
|
||||
("left" length percentage "auto")
|
||||
("letter-spacing" "normal" length)
|
||||
("line-height" "normal" number length percentage)
|
||||
("list-style" list-style-type list-style-position list-style-image)
|
||||
("list-style-image" uri "none")
|
||||
("list-style-position" "inside" "outside")
|
||||
("list-style-type" "disc" "circle" "square" "decimal" "decimal-leading-zero"
|
||||
"lower-roman" "upper-roman" "lower-greek" "lower-latin" "upper-latin"
|
||||
"armenian" "georgian" "lower-alpha" "upper-alpha" "none")
|
||||
("margin" margin-width)
|
||||
("margin-bottom" margin-width)
|
||||
("margin-left" margin-width)
|
||||
("margin-right" margin-width)
|
||||
("margin-top" margin-width)
|
||||
("max-height" length percentage "none")
|
||||
("max-width" length percentage "none")
|
||||
("min-height" length percentage)
|
||||
("min-width" length percentage)
|
||||
("orphans" integer)
|
||||
("outline" outline-color outline-style outline-width)
|
||||
("outline-color" color "invert")
|
||||
("outline-style" border-style)
|
||||
("outline-width" border-width)
|
||||
("overflow" "visible" "hidden" "scroll" "auto")
|
||||
("padding" padding-width)
|
||||
("padding-bottom" padding-width)
|
||||
("padding-left" padding-width)
|
||||
("padding-right" padding-width)
|
||||
("padding-top" padding-width)
|
||||
("page-break-after" "auto" "always" "avoid" "left" "right")
|
||||
("page-break-before" "auto" "always" "avoid" "left" "right")
|
||||
("page-break-inside" "avoid" "auto")
|
||||
("pause" time percentage)
|
||||
("pause-after" time percentage)
|
||||
("pause-before" time percentage)
|
||||
("pitch" frequency "x-low" "low" "medium" "high" "x-high")
|
||||
("pitch-range" number)
|
||||
("play-during" uri "mix" "repeat" "auto" "none")
|
||||
("position" "static" "relative" "absolute" "fixed")
|
||||
("quotes" string string "none")
|
||||
("richness" number)
|
||||
("right" length percentage "auto")
|
||||
("speak" "normal" "none" "spell-out")
|
||||
("speak-header" "once" "always")
|
||||
("speak-numeral" "digits" "continuous")
|
||||
("speak-punctuation" "code" "none")
|
||||
("speech-rate" number "x-slow" "slow" "medium" "fast" "x-fast" "faster"
|
||||
"slower")
|
||||
("stress" number)
|
||||
("table-layout" "auto" "fixed")
|
||||
("text-align" "left" "right" "center" "justify")
|
||||
("text-decoration" "none" "underline" "overline" "line-through" "blink")
|
||||
("text-indent" length percentage)
|
||||
("text-transform" "capitalize" "uppercase" "lowercase" "none")
|
||||
("top" length percentage "auto")
|
||||
("unicode-bidi" "normal" "embed" "bidi-override")
|
||||
("vertical-align" "baseline" "sub" "super" "top" "text-top" "middle"
|
||||
"bottom" "text-bottom" percentage length)
|
||||
("visibility" "visible" "hidden" "collapse")
|
||||
("voice-family" specific-voice generic-voice "*" specific-voice
|
||||
generic-voice)
|
||||
("volume" number percentage "silent" "x-soft" "soft" "medium" "loud"
|
||||
"x-loud")
|
||||
("white-space" "normal" "pre" "nowrap" "pre-wrap" "pre-line")
|
||||
("widows" integer)
|
||||
("width" length percentage "auto")
|
||||
("word-spacing" "normal" length)
|
||||
("z-index" "auto" integer))
|
||||
"A list of CSS properties and their possible values.")
|
||||
|
||||
(defconst ac-css-value-classes
|
||||
'((absolute-size "xx-small" "x-small" "small" "medium" "large" "x-large"
|
||||
"xx-large")
|
||||
(border-style "none" "hidden" "dotted" "dashed" "solid" "double" "groove"
|
||||
"ridge" "inset" "outset")
|
||||
(color "aqua" "black" "blue" "fuchsia" "gray" "green" "lime" "maroon" "navy"
|
||||
"olive" "orange" "purple" "red" "silver" "teal" "white" "yellow"
|
||||
"rgb")
|
||||
(counter "counter")
|
||||
(family-name "Courier" "Helvetica" "Times")
|
||||
(generic-family "serif" "sans-serif" "cursive" "fantasy" "monospace")
|
||||
(generic-voice "male" "female" "child")
|
||||
(margin-width "auto") ;; length percentage
|
||||
(relative-size "larger" "smaller")
|
||||
(shape "rect")
|
||||
(uri "url"))
|
||||
"A list of CSS property value classes and their contents.")
|
||||
|
||||
(defconst ac-css-pseudo-classes
|
||||
'("active" "after" "before" "first" "first-child" "first-letter" "first-line"
|
||||
"focus" "hover" "lang" "left" "link" "right" "visited")
|
||||
"Identifiers for CSS pseudo-elements and pseudo-classes.")
|
||||
|
||||
(defvar ac-css-property nil
|
||||
"Current editing property.")
|
||||
|
||||
(defun ac-css-prefix ()
|
||||
(when (save-excursion (re-search-backward "\\_<\\(.+?\\)\\_>\\s *:[^;]*\\=" nil t))
|
||||
(setq ac-css-property (match-string 1))
|
||||
(or (ac-prefix-symbol) (point))))
|
||||
|
||||
(defun ac-css-property-candidates ()
|
||||
(let ((list (assoc-default ac-css-property ac-css-property-alist)))
|
||||
(if list
|
||||
(cl-loop with seen
|
||||
with value
|
||||
while (setq value (pop list))
|
||||
if (symbolp value)
|
||||
do (unless (memq value seen)
|
||||
(push value seen)
|
||||
(setq list
|
||||
(append list
|
||||
(or (assoc-default value ac-css-value-classes)
|
||||
(assoc-default (symbol-name value) ac-css-property-alist)))))
|
||||
else collect value)
|
||||
ac-css-pseudo-classes)))
|
||||
|
||||
(ac-define-source css-property
|
||||
'((candidates . ac-css-property-candidates)
|
||||
(prefix . ac-css-prefix)
|
||||
(requires . 0)))
|
||||
|
||||
;; slime
|
||||
(ac-define-source slime
|
||||
'((depends slime)
|
||||
(candidates . (car (slime-simple-completions ac-prefix)))
|
||||
(symbol . "s")
|
||||
(cache)))
|
||||
|
||||
;; ghc-mod
|
||||
(ac-define-source ghc-mod
|
||||
'((depends ghc)
|
||||
(candidates . (ghc-select-completion-symbol))
|
||||
(symbol . "s")
|
||||
(cache)))
|
||||
|
||||
|
||||
|
||||
;;;; Not maintained sources
|
||||
|
||||
;; ropemacs
|
||||
|
||||
(defvar ac-ropemacs-loaded nil)
|
||||
(defun ac-ropemacs-require ()
|
||||
(with-no-warnings
|
||||
(unless ac-ropemacs-loaded
|
||||
(pymacs-load "ropemacs" "rope-")
|
||||
(if (boundp 'ropemacs-enable-autoimport)
|
||||
(setq ropemacs-enable-autoimport t))
|
||||
(setq ac-ropemacs-loaded t))))
|
||||
|
||||
(defun ac-ropemacs-setup ()
|
||||
(ac-ropemacs-require)
|
||||
;(setq ac-sources (append (list 'ac-source-ropemacs) ac-sources))
|
||||
(setq ac-omni-completion-sources '(("\\." ac-source-ropemacs))))
|
||||
|
||||
(defun ac-ropemacs-initialize ()
|
||||
(autoload 'pymacs-apply "pymacs")
|
||||
(autoload 'pymacs-call "pymacs")
|
||||
(autoload 'pymacs-eval "pymacs" nil t)
|
||||
(autoload 'pymacs-exec "pymacs" nil t)
|
||||
(autoload 'pymacs-load "pymacs" nil t)
|
||||
(add-hook 'python-mode-hook 'ac-ropemacs-setup)
|
||||
t)
|
||||
|
||||
(defvar ac-ropemacs-completions-cache nil)
|
||||
(defvar ac-source-ropemacs
|
||||
'((init
|
||||
. (lambda ()
|
||||
(setq ac-ropemacs-completions-cache
|
||||
(mapcar
|
||||
(lambda (completion)
|
||||
(concat ac-prefix completion))
|
||||
(ignore-errors
|
||||
(rope-completions))))))
|
||||
(candidates . ac-ropemacs-completions-cache)))
|
||||
|
||||
;; rcodetools
|
||||
|
||||
(defvar ac-source-rcodetools
|
||||
'((init . (lambda ()
|
||||
(require 'rcodetools)
|
||||
(condition-case x
|
||||
(save-excursion
|
||||
(rct-exec-and-eval rct-complete-command-name "--completion-emacs-icicles"))
|
||||
(error) (setq rct-method-completion-table nil))))
|
||||
(candidates . (lambda ()
|
||||
(all-completions
|
||||
ac-prefix
|
||||
(mapcar
|
||||
(lambda (completion)
|
||||
(replace-regexp-in-string "\t.*$" "" (car completion)))
|
||||
rct-method-completion-table))))))
|
||||
|
||||
|
||||
|
||||
;;;; Default settings
|
||||
|
||||
(defun ac-common-setup ()
|
||||
;(add-to-list 'ac-sources 'ac-source-filename)
|
||||
)
|
||||
|
||||
(defun ac-emacs-lisp-mode-setup ()
|
||||
(setq ac-sources (append '(ac-source-features ac-source-functions ac-source-yasnippet ac-source-variables ac-source-symbols) ac-sources)))
|
||||
|
||||
(defun ac-cc-mode-setup ()
|
||||
(setq ac-sources (append '(ac-source-yasnippet ac-source-gtags) ac-sources)))
|
||||
|
||||
(defun ac-ruby-mode-setup ())
|
||||
|
||||
(defun ac-css-mode-setup ()
|
||||
(setq ac-sources (append '(ac-source-css-property) ac-sources)))
|
||||
|
||||
;;;###autoload
|
||||
(defun ac-config-default ()
|
||||
(setq-default ac-sources '(ac-source-abbrev ac-source-dictionary ac-source-words-in-same-mode-buffers))
|
||||
(add-hook 'emacs-lisp-mode-hook 'ac-emacs-lisp-mode-setup)
|
||||
(add-hook 'c-mode-common-hook 'ac-cc-mode-setup)
|
||||
(add-hook 'ruby-mode-hook 'ac-ruby-mode-setup)
|
||||
(add-hook 'css-mode-hook 'ac-css-mode-setup)
|
||||
(add-hook 'auto-complete-mode-hook 'ac-common-setup)
|
||||
(global-auto-complete-mode t))
|
||||
|
||||
(provide 'auto-complete-config)
|
||||
;;; auto-complete-config.el ends here
|
Binary file not shown.
|
@ -0,0 +1,6 @@
|
|||
(define-package "auto-complete" "20170125.245" "Auto Completion for GNU Emacs"
|
||||
'((popup "0.5.0")
|
||||
(cl-lib "0.5")))
|
||||
;; Local Variables:
|
||||
;; no-byte-compile: t
|
||||
;; End:
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
|
@ -0,0 +1,72 @@
|
|||
abort
|
||||
abs
|
||||
abstract
|
||||
accept
|
||||
access
|
||||
aliased
|
||||
all
|
||||
and
|
||||
array
|
||||
at
|
||||
begin
|
||||
body
|
||||
case
|
||||
constant
|
||||
declare
|
||||
delay
|
||||
delta
|
||||
digits
|
||||
do
|
||||
else
|
||||
elsif
|
||||
end
|
||||
entry
|
||||
exception
|
||||
exit
|
||||
for
|
||||
function
|
||||
generic
|
||||
goto
|
||||
if
|
||||
in
|
||||
interface
|
||||
is
|
||||
limited
|
||||
loop
|
||||
mod
|
||||
new
|
||||
not
|
||||
null
|
||||
of
|
||||
or
|
||||
others
|
||||
out
|
||||
overriding
|
||||
package
|
||||
pragma
|
||||
private
|
||||
procedure
|
||||
protected
|
||||
raise
|
||||
range
|
||||
record
|
||||
rem
|
||||
renames
|
||||
requeue
|
||||
return
|
||||
reverse
|
||||
select
|
||||
separate
|
||||
subtype
|
||||
synchronized
|
||||
tagged
|
||||
task
|
||||
terminate
|
||||
then
|
||||
type
|
||||
until
|
||||
use
|
||||
when
|
||||
while
|
||||
with
|
||||
xor
|
|
@ -0,0 +1,99 @@
|
|||
alignas
|
||||
alignof
|
||||
and
|
||||
and_eq
|
||||
asm
|
||||
auto
|
||||
bitand
|
||||
bitor
|
||||
bool
|
||||
break
|
||||
case
|
||||
catch
|
||||
char
|
||||
char16_t
|
||||
char32_t
|
||||
class
|
||||
compl
|
||||
concept
|
||||
const
|
||||
const_cast
|
||||
constexpr
|
||||
continue
|
||||
decltype
|
||||
default
|
||||
define
|
||||
defined
|
||||
delete
|
||||
do
|
||||
double
|
||||
dynamic_cast
|
||||
elif
|
||||
else
|
||||
endif
|
||||
enum
|
||||
error
|
||||
explicit
|
||||
export
|
||||
extern
|
||||
false
|
||||
final
|
||||
float
|
||||
for
|
||||
friend
|
||||
goto
|
||||
if
|
||||
ifdef
|
||||
ifndef
|
||||
include
|
||||
inline
|
||||
int
|
||||
line
|
||||
long
|
||||
mutable
|
||||
namespace
|
||||
new
|
||||
noexcept
|
||||
not
|
||||
not_eq
|
||||
nullptr
|
||||
operator
|
||||
or
|
||||
or_eq
|
||||
override
|
||||
pragma
|
||||
_Pragma
|
||||
private
|
||||
protected
|
||||
public
|
||||
register
|
||||
reinterpret_cast
|
||||
requires
|
||||
return
|
||||
short
|
||||
signed
|
||||
sizeof
|
||||
static
|
||||
static_assert
|
||||
static_cast
|
||||
struct
|
||||
switch
|
||||
template
|
||||
this
|
||||
thread_local
|
||||
throw
|
||||
true
|
||||
try
|
||||
typedef
|
||||
typeid
|
||||
typename
|
||||
union
|
||||
unsigned
|
||||
using
|
||||
virtual
|
||||
void
|
||||
volatile
|
||||
wchar_t
|
||||
while
|
||||
xor
|
||||
xor_eq
|
|
@ -0,0 +1,55 @@
|
|||
auto
|
||||
_Alignas
|
||||
_Alignof
|
||||
_Atomic
|
||||
_Bool
|
||||
break
|
||||
case
|
||||
char
|
||||
_Complex
|
||||
const
|
||||
continue
|
||||
default
|
||||
define
|
||||
defined
|
||||
do
|
||||
double
|
||||
elif
|
||||
else
|
||||
endif
|
||||
enum
|
||||
error
|
||||
extern
|
||||
float
|
||||
for
|
||||
goto
|
||||
_Generic
|
||||
if
|
||||
ifdef
|
||||
ifndef
|
||||
_Imaginary
|
||||
include
|
||||
inline
|
||||
int
|
||||
line
|
||||
long
|
||||
_Noreturn
|
||||
pragma
|
||||
register
|
||||
restrict
|
||||
return
|
||||
short
|
||||
signed
|
||||
sizeof
|
||||
static
|
||||
struct
|
||||
switch
|
||||
_Static_assert
|
||||
typedef
|
||||
_Thread_local
|
||||
undef
|
||||
union
|
||||
unsigned
|
||||
void
|
||||
volatile
|
||||
while
|
|
@ -0,0 +1,231 @@
|
|||
# OCaml 3.12.1
|
||||
|
||||
# Keywords
|
||||
and
|
||||
as
|
||||
assert
|
||||
begin
|
||||
class
|
||||
constraint
|
||||
do
|
||||
done
|
||||
downto
|
||||
else
|
||||
end
|
||||
exception
|
||||
external
|
||||
false
|
||||
for
|
||||
fun
|
||||
function
|
||||
functor
|
||||
if
|
||||
in
|
||||
include
|
||||
inherit
|
||||
initializer
|
||||
lazy
|
||||
let
|
||||
match
|
||||
method
|
||||
module
|
||||
mutable
|
||||
new
|
||||
object
|
||||
of
|
||||
open
|
||||
or
|
||||
private
|
||||
rec
|
||||
sig
|
||||
struct
|
||||
then
|
||||
to
|
||||
true
|
||||
try
|
||||
type
|
||||
val
|
||||
virtual
|
||||
when
|
||||
while
|
||||
with
|
||||
|
||||
# Pervasives
|
||||
!
|
||||
!=
|
||||
&
|
||||
&&
|
||||
*
|
||||
**
|
||||
*.
|
||||
+
|
||||
+.
|
||||
-
|
||||
-.
|
||||
/
|
||||
/.
|
||||
:=
|
||||
<
|
||||
<=
|
||||
<>
|
||||
=
|
||||
==
|
||||
>
|
||||
>=
|
||||
@
|
||||
FP_infinite
|
||||
FP_nan
|
||||
FP_normal
|
||||
FP_subnormal
|
||||
FP_zero
|
||||
LargeFile
|
||||
Open_append
|
||||
Open_binary
|
||||
Open_creat
|
||||
Open_nonblock
|
||||
Open_rdonly
|
||||
Open_text
|
||||
Open_trunc
|
||||
Open_wronly
|
||||
Oupen_excl
|
||||
^
|
||||
^^
|
||||
abs
|
||||
abs_float
|
||||
acos
|
||||
asin
|
||||
asr
|
||||
at_exit
|
||||
atan
|
||||
atan2
|
||||
bool_of_string
|
||||
ceil
|
||||
char_of_int
|
||||
classify_float
|
||||
close_in
|
||||
close_in_noerr
|
||||
close_out
|
||||
close_out_noerr
|
||||
compare
|
||||
cos
|
||||
cosh
|
||||
decr
|
||||
do_at_exit
|
||||
epsilon_float
|
||||
exit
|
||||
exp
|
||||
expm1
|
||||
failwith
|
||||
float
|
||||
float_of_int
|
||||
float_of_string
|
||||
floor
|
||||
flush
|
||||
flush_all
|
||||
format
|
||||
format4
|
||||
format_of_string
|
||||
fpclass
|
||||
frexp
|
||||
fst
|
||||
ignore
|
||||
in_channel
|
||||
in_channel_length
|
||||
incr
|
||||
infinity
|
||||
input
|
||||
input_binary_int
|
||||
input_byte
|
||||
input_char
|
||||
input_line
|
||||
input_value
|
||||
int_of_char
|
||||
int_of_float
|
||||
int_of_string
|
||||
invalid_arg
|
||||
land
|
||||
ldexp
|
||||
lnot
|
||||
log
|
||||
log10
|
||||
log1p
|
||||
lor
|
||||
lsl
|
||||
lsr
|
||||
lxor
|
||||
max
|
||||
max_float
|
||||
max_int
|
||||
min
|
||||
min_float
|
||||
min_int
|
||||
mod
|
||||
mod_float
|
||||
modf
|
||||
nan
|
||||
neg_infinity
|
||||
not
|
||||
open_flag
|
||||
open_in
|
||||
open_in_bin
|
||||
open_in_gen
|
||||
open_out
|
||||
open_out_bin
|
||||
open_out_gen
|
||||
or
|
||||
out_channel
|
||||
out_channel_length
|
||||
output
|
||||
output_binary_int
|
||||
output_byte
|
||||
output_char
|
||||
output_string
|
||||
output_value
|
||||
pos_in
|
||||
pos_out
|
||||
pred
|
||||
prerr_char
|
||||
prerr_endline
|
||||
prerr_float
|
||||
prerr_int
|
||||
prerr_newline
|
||||
prerr_string
|
||||
print_char
|
||||
print_endline
|
||||
print_float
|
||||
print_int
|
||||
print_newline
|
||||
print_string
|
||||
raise
|
||||
read_float
|
||||
read_int
|
||||
read_line
|
||||
really_input
|
||||
ref
|
||||
seek_in
|
||||
seek_out
|
||||
set_binary_mode_in
|
||||
set_binary_mode_out
|
||||
sin
|
||||
sinh
|
||||
snd
|
||||
sqrt
|
||||
stderr
|
||||
stdin
|
||||
stdout
|
||||
string_of_bool
|
||||
string_of_float
|
||||
string_of_format
|
||||
string_of_int
|
||||
succ
|
||||
tan
|
||||
tanh
|
||||
truncate
|
||||
unsafe_really_input
|
||||
valid_float_lexem
|
||||
||
|
||||
~
|
||||
~+
|
||||
~+.
|
||||
~-
|
||||
~-.
|
|
@ -0,0 +1,580 @@
|
|||
*agent*
|
||||
*allow-unresolved-vars*
|
||||
*assert*
|
||||
*clojure-version*
|
||||
*command-line-args*
|
||||
*compile-files*
|
||||
*compile-path*
|
||||
*compiler-options*
|
||||
*data-readers*
|
||||
*default-data-reader-fn*
|
||||
*err*
|
||||
*file*
|
||||
*flush-on-newline*
|
||||
*fn-loader*
|
||||
*in*
|
||||
*math-context*
|
||||
*ns*
|
||||
*out*
|
||||
*print-dup*
|
||||
*print-length*
|
||||
*print-level*
|
||||
*print-meta*
|
||||
*print-readably*
|
||||
*read-eval*
|
||||
*source-path*
|
||||
*unchecked-math*
|
||||
*use-context-classloader*
|
||||
*verbose-defrecords*
|
||||
*warn-on-reflection*
|
||||
->ArrayChunk
|
||||
->Vec
|
||||
->VecNode
|
||||
->VecSeq
|
||||
-cache-protocol-fn
|
||||
-reset-methods
|
||||
accessor
|
||||
aclone
|
||||
add-classpath
|
||||
add-watch
|
||||
agent
|
||||
agent-error
|
||||
agent-errors
|
||||
aget
|
||||
alength
|
||||
alias
|
||||
all-ns
|
||||
alter
|
||||
alter-meta!
|
||||
alter-var-root
|
||||
amap
|
||||
ancestors
|
||||
and
|
||||
apply
|
||||
areduce
|
||||
array-map
|
||||
as->
|
||||
aset
|
||||
aset-boolean
|
||||
aset-byte
|
||||
aset-char
|
||||
aset-double
|
||||
aset-float
|
||||
aset-int
|
||||
aset-long
|
||||
aset-short
|
||||
assert
|
||||
assoc
|
||||
assoc!
|
||||
assoc-in
|
||||
associative?
|
||||
atom
|
||||
await
|
||||
await-for
|
||||
await1
|
||||
bases
|
||||
bean
|
||||
bigdec
|
||||
bigint
|
||||
biginteger
|
||||
binding
|
||||
bit-and
|
||||
bit-and-not
|
||||
bit-clear
|
||||
bit-flip
|
||||
bit-not
|
||||
bit-or
|
||||
bit-set
|
||||
bit-shift-left
|
||||
bit-shift-right
|
||||
bit-test
|
||||
bit-xor
|
||||
boolean
|
||||
boolean-array
|
||||
booleans
|
||||
bound-fn
|
||||
bound-fn*
|
||||
bound?
|
||||
butlast
|
||||
byte
|
||||
byte-array
|
||||
bytes
|
||||
case
|
||||
cast
|
||||
char
|
||||
char-array
|
||||
char-escape-string
|
||||
char-name-string
|
||||
char?
|
||||
chars
|
||||
chunk
|
||||
chunk-append
|
||||
chunk-buffer
|
||||
chunk-cons
|
||||
chunk-first
|
||||
chunk-next
|
||||
chunk-rest
|
||||
chunked-seq?
|
||||
class
|
||||
class?
|
||||
clear-agent-errors
|
||||
clojure-version
|
||||
coll?
|
||||
comment
|
||||
commute
|
||||
comp
|
||||
comparator
|
||||
compare
|
||||
compare-and-set!
|
||||
compile
|
||||
complement
|
||||
concat
|
||||
cond
|
||||
cond->
|
||||
cond->>
|
||||
condp
|
||||
conj
|
||||
conj!
|
||||
cons
|
||||
constantly
|
||||
construct-proxy
|
||||
contains?
|
||||
count
|
||||
counted?
|
||||
create-ns
|
||||
create-struct
|
||||
cycle
|
||||
dec
|
||||
dec'
|
||||
decimal?
|
||||
declare
|
||||
default-data-readers
|
||||
definline
|
||||
definterface
|
||||
defmacro
|
||||
defmethod
|
||||
defmulti
|
||||
defn
|
||||
defn-
|
||||
defonce
|
||||
defprotocol
|
||||
defrecord
|
||||
defstruct
|
||||
deftype
|
||||
delay
|
||||
delay?
|
||||
deliver
|
||||
denominator
|
||||
deref
|
||||
derive
|
||||
descendants
|
||||
destructure
|
||||
disj
|
||||
disj!
|
||||
dissoc
|
||||
dissoc!
|
||||
distinct
|
||||
distinct?
|
||||
doall
|
||||
doc
|
||||
dorun
|
||||
doseq
|
||||
dosync
|
||||
dotimes
|
||||
doto
|
||||
double
|
||||
double-array
|
||||
doubles
|
||||
drop
|
||||
drop-last
|
||||
drop-while
|
||||
dtype
|
||||
empty
|
||||
empty?
|
||||
ensure
|
||||
enumeration-seq
|
||||
error-handler
|
||||
error-mode
|
||||
eval
|
||||
even?
|
||||
every-pred
|
||||
every?
|
||||
ex-data
|
||||
ex-info
|
||||
extend
|
||||
extend-class
|
||||
extend-protocol
|
||||
extend-type
|
||||
extenders
|
||||
extends?
|
||||
false?
|
||||
ffirst
|
||||
file-seq
|
||||
filter
|
||||
filterv
|
||||
find
|
||||
find-doc
|
||||
find-keyword
|
||||
find-ns
|
||||
find-protocol-impl
|
||||
find-protocol-method
|
||||
find-var
|
||||
first
|
||||
flatten
|
||||
float
|
||||
float-array
|
||||
float?
|
||||
floats
|
||||
flush
|
||||
fn
|
||||
fn?
|
||||
fnext
|
||||
fnil
|
||||
for
|
||||
force
|
||||
format
|
||||
frequencies
|
||||
future
|
||||
future-call
|
||||
future-cancel
|
||||
future-cancelled?
|
||||
future-done?
|
||||
future?
|
||||
gen-class
|
||||
gen-interface
|
||||
gensym
|
||||
get
|
||||
get-in
|
||||
get-method
|
||||
get-proxy-class
|
||||
get-thread-bindings
|
||||
get-validator
|
||||
group-by
|
||||
hash
|
||||
hash-combine
|
||||
hash-map
|
||||
hash-set
|
||||
identical?
|
||||
identity
|
||||
if-let
|
||||
if-not
|
||||
ifn?
|
||||
import
|
||||
in-ns
|
||||
inc
|
||||
inc'
|
||||
init-proxy
|
||||
instance?
|
||||
int
|
||||
int-array
|
||||
integer?
|
||||
interleave
|
||||
intern
|
||||
interpose
|
||||
into
|
||||
into-array
|
||||
ints
|
||||
io!
|
||||
isa?
|
||||
iterate
|
||||
iterator-seq
|
||||
juxt
|
||||
keep
|
||||
keep-indexed
|
||||
key
|
||||
keys
|
||||
keyword
|
||||
keyword?
|
||||
last
|
||||
lazy-cat
|
||||
lazy-seq
|
||||
let
|
||||
letfn
|
||||
line-seq
|
||||
list
|
||||
list*
|
||||
list?
|
||||
load
|
||||
load-file
|
||||
load-reader
|
||||
load-string
|
||||
loaded-libs
|
||||
locking
|
||||
long
|
||||
long-array
|
||||
longs
|
||||
loop
|
||||
macroexpand
|
||||
macroexpand-1
|
||||
make-array
|
||||
make-hierarchy
|
||||
map
|
||||
map-indexed
|
||||
map?
|
||||
mapcat
|
||||
mapv
|
||||
max
|
||||
max-key
|
||||
memfn
|
||||
memoize
|
||||
merge
|
||||
merge-with
|
||||
meta
|
||||
method-sig
|
||||
methods
|
||||
min
|
||||
min-key
|
||||
mod
|
||||
munge
|
||||
name
|
||||
namespace
|
||||
namespace-munge
|
||||
neg?
|
||||
newline
|
||||
next
|
||||
nfirst
|
||||
nil?
|
||||
nnext
|
||||
not
|
||||
not-any?
|
||||
not-empty
|
||||
not-every?
|
||||
not=
|
||||
ns
|
||||
ns-aliases
|
||||
ns-imports
|
||||
ns-interns
|
||||
ns-map
|
||||
ns-name
|
||||
ns-publics
|
||||
ns-refers
|
||||
ns-resolve
|
||||
ns-unalias
|
||||
ns-unmap
|
||||
nth
|
||||
nthnext
|
||||
nthrest
|
||||
num
|
||||
number?
|
||||
numerator
|
||||
object-array
|
||||
odd?
|
||||
or
|
||||
parents
|
||||
partial
|
||||
partition
|
||||
partition-all
|
||||
partition-by
|
||||
pcalls
|
||||
peek
|
||||
persistent!
|
||||
pmap
|
||||
pop
|
||||
pop!
|
||||
pop-thread-bindings
|
||||
pos?
|
||||
pr
|
||||
pr-str
|
||||
prefer-method
|
||||
prefers
|
||||
primitives-classnames
|
||||
print
|
||||
print-ctor
|
||||
print-dup
|
||||
print-method
|
||||
print-namespace-doc
|
||||
print-simple
|
||||
print-str
|
||||
printf
|
||||
println
|
||||
println-str
|
||||
prn
|
||||
prn-str
|
||||
promise
|
||||
proxy
|
||||
proxy-call-with-super
|
||||
proxy-mappings
|
||||
proxy-name
|
||||
proxy-super
|
||||
push-thread-bindings
|
||||
pvalues
|
||||
quot
|
||||
rand
|
||||
rand-int
|
||||
rand-nth
|
||||
range
|
||||
ratio?
|
||||
rational?
|
||||
rationalize
|
||||
re-find
|
||||
re-groups
|
||||
re-matcher
|
||||
re-matches
|
||||
re-pattern
|
||||
re-seq
|
||||
read
|
||||
read-line
|
||||
read-string
|
||||
realized?
|
||||
reduce
|
||||
reduce-kv
|
||||
reduced
|
||||
reduced?
|
||||
reductions
|
||||
ref
|
||||
ref-history-count
|
||||
ref-max-history
|
||||
ref-min-history
|
||||
ref-set
|
||||
refer
|
||||
refer-clojure
|
||||
reify
|
||||
release-pending-sends
|
||||
rem
|
||||
remove
|
||||
remove-all-methods
|
||||
remove-method
|
||||
remove-ns
|
||||
remove-watch
|
||||
repeat
|
||||
repeatedly
|
||||
replace
|
||||
replicate
|
||||
require
|
||||
reset!
|
||||
reset-meta!
|
||||
resolve
|
||||
rest
|
||||
restart-agent
|
||||
resultset-seq
|
||||
reverse
|
||||
reversible?
|
||||
rseq
|
||||
rsubseq
|
||||
satisfies?
|
||||
second
|
||||
select-keys
|
||||
send
|
||||
send-off
|
||||
send-via
|
||||
seq
|
||||
seq?
|
||||
seque
|
||||
sequence
|
||||
sequential?
|
||||
set
|
||||
set-agent-send-executor!
|
||||
set-agent-send-off-executor!
|
||||
set-error-handler!
|
||||
set-error-mode!
|
||||
set-validator!
|
||||
set?
|
||||
short
|
||||
short-array
|
||||
shorts
|
||||
shuffle
|
||||
shutdown-agents
|
||||
slurp
|
||||
some
|
||||
some->
|
||||
some->>
|
||||
some-fn
|
||||
sort
|
||||
sort-by
|
||||
sorted-map
|
||||
sorted-map-by
|
||||
sorted-set
|
||||
sorted-set-by
|
||||
sorted?
|
||||
special-form-anchor
|
||||
special-symbol?
|
||||
spit
|
||||
split-at
|
||||
split-with
|
||||
str
|
||||
stream?
|
||||
string?
|
||||
struct
|
||||
struct-map
|
||||
subs
|
||||
subseq
|
||||
subvec
|
||||
supers
|
||||
swap!
|
||||
symbol
|
||||
symbol?
|
||||
sync
|
||||
syntax-symbol-anchor
|
||||
take
|
||||
take-last
|
||||
take-nth
|
||||
take-while
|
||||
test
|
||||
the-ns
|
||||
thread-bound?
|
||||
time
|
||||
to-array
|
||||
to-array-2d
|
||||
trampoline
|
||||
transient
|
||||
tree-seq
|
||||
true?
|
||||
type
|
||||
unchecked-add
|
||||
unchecked-add-int
|
||||
unchecked-byte
|
||||
unchecked-char
|
||||
unchecked-dec
|
||||
unchecked-dec-int
|
||||
unchecked-divide
|
||||
unchecked-divide-int
|
||||
unchecked-double
|
||||
unchecked-float
|
||||
unchecked-inc
|
||||
unchecked-inc-int
|
||||
unchecked-int
|
||||
unchecked-long
|
||||
unchecked-multiply
|
||||
unchecked-multiply-int
|
||||
unchecked-negate
|
||||
unchecked-negate-int
|
||||
unchecked-remainder
|
||||
unchecked-remainder-int
|
||||
unchecked-short
|
||||
unchecked-subtract
|
||||
unchecked-subtract-int
|
||||
underive
|
||||
unquote
|
||||
unquote-splicing
|
||||
update-in
|
||||
update-proxy
|
||||
use
|
||||
val
|
||||
vals
|
||||
var-get
|
||||
var-set
|
||||
var?
|
||||
vary-meta
|
||||
vec
|
||||
vector
|
||||
vector-of
|
||||
vector?
|
||||
when
|
||||
when-first
|
||||
when-let
|
||||
when-not
|
||||
while
|
||||
with-bindings
|
||||
with-bindings*
|
||||
with-in-str
|
||||
with-loading-context
|
||||
with-local-vars
|
||||
with-meta
|
||||
with-open
|
||||
with-out-str
|
||||
with-precision
|
||||
with-redefs
|
||||
with-redefs-fn
|
||||
xml-seq
|
||||
zero?
|
||||
zipmap
|
|
@ -0,0 +1,475 @@
|
|||
*agent*
|
||||
*clojure-version*
|
||||
*command-line-args*
|
||||
*compile-files*
|
||||
*compile-path*
|
||||
*err*
|
||||
*file*
|
||||
*flush-on-newline*
|
||||
*in*
|
||||
*ns*
|
||||
*out*
|
||||
*print-dup*
|
||||
*print-length*
|
||||
*print-level*
|
||||
*print-meta*
|
||||
*print-readably*
|
||||
*read-eval*
|
||||
*warn-on-reflection*
|
||||
accessor
|
||||
aclone
|
||||
add-classpath
|
||||
add-watch
|
||||
agent
|
||||
agent-error
|
||||
agent-errors
|
||||
aget
|
||||
alength
|
||||
alias
|
||||
all-ns
|
||||
alter
|
||||
alter-meta!
|
||||
alter-var-root
|
||||
amap
|
||||
ancestors
|
||||
and
|
||||
apply
|
||||
areduce
|
||||
array-map
|
||||
aset
|
||||
aset-boolean
|
||||
aset-byte
|
||||
aset-char
|
||||
aset-double
|
||||
aset-float
|
||||
aset-int
|
||||
aset-long
|
||||
aset-short
|
||||
assert
|
||||
assoc
|
||||
assoc!
|
||||
assoc-in
|
||||
associative?
|
||||
atom
|
||||
await
|
||||
await-for
|
||||
bases
|
||||
bean
|
||||
bigdec
|
||||
bigint
|
||||
binding
|
||||
bit-and
|
||||
bit-and-not
|
||||
bit-clear
|
||||
bit-flip
|
||||
bit-not
|
||||
bit-or
|
||||
bit-set
|
||||
bit-shift-left
|
||||
bit-shift-right
|
||||
bit-test
|
||||
bit-xor
|
||||
boolean
|
||||
boolean-array
|
||||
booleans
|
||||
bound-fn
|
||||
bound-fn*
|
||||
butlast
|
||||
byte
|
||||
byte-array
|
||||
bytes
|
||||
case
|
||||
cast
|
||||
char
|
||||
char-array
|
||||
char-escape-string
|
||||
char-name-string
|
||||
char?
|
||||
chars
|
||||
class
|
||||
class?
|
||||
clear-agent-errors
|
||||
clojure-version
|
||||
coll?
|
||||
comment
|
||||
commute
|
||||
comp
|
||||
comparator
|
||||
compare
|
||||
compare-and-set!
|
||||
compile
|
||||
complement
|
||||
concat
|
||||
cond
|
||||
condp
|
||||
conj
|
||||
conj!
|
||||
cons
|
||||
constantly
|
||||
construct-proxy
|
||||
contains?
|
||||
count
|
||||
counted?
|
||||
create-ns
|
||||
create-struct
|
||||
cycle
|
||||
dec
|
||||
decimal?
|
||||
declare
|
||||
definline
|
||||
defmacro
|
||||
defmethod
|
||||
defmulti
|
||||
defn
|
||||
defn-
|
||||
defonce
|
||||
defprotocol
|
||||
defstruct
|
||||
deftype
|
||||
delay
|
||||
delay?
|
||||
deliver
|
||||
deref
|
||||
derive
|
||||
descendants
|
||||
disj
|
||||
disj!
|
||||
dissoc
|
||||
dissoc!
|
||||
distinct
|
||||
distinct?
|
||||
doall
|
||||
doc
|
||||
dorun
|
||||
doseq
|
||||
dosync
|
||||
dotimes
|
||||
doto
|
||||
double
|
||||
double-array
|
||||
doubles
|
||||
drop
|
||||
drop-last
|
||||
drop-while
|
||||
dtype
|
||||
empty
|
||||
empty?
|
||||
ensure
|
||||
enumeration-seq
|
||||
error-handler
|
||||
error-mode
|
||||
eval
|
||||
even?
|
||||
every?
|
||||
extend
|
||||
extend-class
|
||||
extend-protocol
|
||||
extend-type
|
||||
extenders
|
||||
extends?
|
||||
false?
|
||||
ffirst
|
||||
file-seq
|
||||
filter
|
||||
find
|
||||
find-doc
|
||||
find-ns
|
||||
find-var
|
||||
first
|
||||
float
|
||||
float-array
|
||||
float?
|
||||
floats
|
||||
flush
|
||||
fn
|
||||
fn?
|
||||
fnext
|
||||
for
|
||||
force
|
||||
format
|
||||
future
|
||||
future-call
|
||||
future-cancel
|
||||
future-cancelled?
|
||||
future-done?
|
||||
future?
|
||||
gen-class
|
||||
gen-interface
|
||||
gensym
|
||||
get
|
||||
get-in
|
||||
get-method
|
||||
get-proxy-class
|
||||
get-thread-bindings
|
||||
get-validator
|
||||
hash
|
||||
hash-map
|
||||
hash-set
|
||||
identical?
|
||||
identity
|
||||
if-let
|
||||
if-not
|
||||
ifn?
|
||||
import
|
||||
in-ns
|
||||
inc
|
||||
init-proxy
|
||||
instance?
|
||||
int
|
||||
int-array
|
||||
integer?
|
||||
interleave
|
||||
intern
|
||||
interpose
|
||||
into
|
||||
into-array
|
||||
ints
|
||||
io!
|
||||
isa?
|
||||
iterate
|
||||
iterator-seq
|
||||
juxt
|
||||
key
|
||||
keys
|
||||
keyword
|
||||
keyword?
|
||||
last
|
||||
lazy-cat
|
||||
lazy-seq
|
||||
let
|
||||
letfn
|
||||
line-seq
|
||||
list
|
||||
list*
|
||||
list?
|
||||
load
|
||||
load-file
|
||||
load-reader
|
||||
load-string
|
||||
loaded-libs
|
||||
locking
|
||||
long
|
||||
long-array
|
||||
longs
|
||||
loop
|
||||
macroexpand
|
||||
macroexpand-1
|
||||
make-array
|
||||
make-hierarchy
|
||||
map
|
||||
map?
|
||||
mapcat
|
||||
max
|
||||
max-key
|
||||
memfn
|
||||
memoize
|
||||
merge
|
||||
merge-with
|
||||
meta
|
||||
methods
|
||||
min
|
||||
min-key
|
||||
mod
|
||||
name
|
||||
namespace
|
||||
neg?
|
||||
newline
|
||||
next
|
||||
nfirst
|
||||
nil?
|
||||
nnext
|
||||
not
|
||||
not-any?
|
||||
not-empty
|
||||
not-every?
|
||||
not=
|
||||
ns
|
||||
ns-aliases
|
||||
ns-imports
|
||||
ns-interns
|
||||
ns-map
|
||||
ns-name
|
||||
ns-publics
|
||||
ns-refers
|
||||
ns-resolve
|
||||
ns-unalias
|
||||
ns-unmap
|
||||
nth
|
||||
nthnext
|
||||
num
|
||||
number?
|
||||
object-array
|
||||
odd?
|
||||
or
|
||||
parents
|
||||
partial
|
||||
partition
|
||||
pcalls
|
||||
peek
|
||||
persistent!
|
||||
pmap
|
||||
pop
|
||||
pop!
|
||||
pop-thread-bindings
|
||||
pos?
|
||||
pr
|
||||
pr-str
|
||||
prefer-method
|
||||
prefers
|
||||
print
|
||||
print-namespace-doc
|
||||
print-str
|
||||
printf
|
||||
println
|
||||
println-str
|
||||
prn
|
||||
prn-str
|
||||
promise
|
||||
proxy
|
||||
proxy-mappings
|
||||
proxy-super
|
||||
push-thread-bindings
|
||||
pvalues
|
||||
quot
|
||||
rand
|
||||
rand-int
|
||||
range
|
||||
ratio?
|
||||
rationalize
|
||||
re-find
|
||||
re-groups
|
||||
re-matcher
|
||||
re-matches
|
||||
re-pattern
|
||||
re-seq
|
||||
read
|
||||
read-line
|
||||
read-string
|
||||
reduce
|
||||
ref
|
||||
ref-history-count
|
||||
ref-max-history
|
||||
ref-min-history
|
||||
ref-set
|
||||
refer
|
||||
refer-clojure
|
||||
reify
|
||||
release-pending-sends
|
||||
rem
|
||||
remove
|
||||
remove-method
|
||||
remove-ns
|
||||
remove-watch
|
||||
repeat
|
||||
repeatedly
|
||||
replace
|
||||
replicate
|
||||
require
|
||||
reset!
|
||||
reset-meta!
|
||||
resolve
|
||||
rest
|
||||
restart-agent
|
||||
resultset-seq
|
||||
reverse
|
||||
reversible?
|
||||
rseq
|
||||
rsubseq
|
||||
satisfies?
|
||||
second
|
||||
select-keys
|
||||
send
|
||||
send-off
|
||||
seq
|
||||
seq?
|
||||
seque
|
||||
sequence
|
||||
sequential?
|
||||
set
|
||||
set-error-handler!
|
||||
set-error-mode!
|
||||
set-validator!
|
||||
set?
|
||||
short
|
||||
short-array
|
||||
shorts
|
||||
shutdown-agents
|
||||
slurp
|
||||
some
|
||||
sort
|
||||
sort-by
|
||||
sorted-map
|
||||
sorted-map-by
|
||||
sorted-set
|
||||
sorted-set-by
|
||||
sorted?
|
||||
special-form-anchor
|
||||
special-symbol?
|
||||
split-at
|
||||
split-with
|
||||
str
|
||||
stream?
|
||||
string?
|
||||
struct
|
||||
struct-map
|
||||
subs
|
||||
subseq
|
||||
subvec
|
||||
supers
|
||||
swap!
|
||||
symbol
|
||||
symbol?
|
||||
sync
|
||||
syntax-symbol-anchor
|
||||
take
|
||||
take-last
|
||||
take-nth
|
||||
take-while
|
||||
test
|
||||
the-ns
|
||||
time
|
||||
to-array
|
||||
to-array-2d
|
||||
trampoline
|
||||
transient
|
||||
tree-seq
|
||||
true?
|
||||
type
|
||||
unchecked-add
|
||||
unchecked-dec
|
||||
unchecked-divide
|
||||
unchecked-inc
|
||||
unchecked-multiply
|
||||
unchecked-negate
|
||||
unchecked-remainder
|
||||
unchecked-subtract
|
||||
underive
|
||||
update-in
|
||||
update-proxy
|
||||
use
|
||||
val
|
||||
vals
|
||||
var-get
|
||||
var-set
|
||||
var?
|
||||
vary-meta
|
||||
vec
|
||||
vector
|
||||
vector-of
|
||||
vector?
|
||||
when
|
||||
when-first
|
||||
when-let
|
||||
when-not
|
||||
while
|
||||
with-bindings
|
||||
with-bindings*
|
||||
with-in-str
|
||||
with-local-vars
|
||||
with-meta
|
||||
with-open
|
||||
with-out-str
|
||||
with-precision
|
||||
xml-seq
|
||||
zero?
|
||||
zipmap
|
|
@ -0,0 +1,278 @@
|
|||
# Generated by the following form.
|
||||
# (loop for regexp in (append
|
||||
# coq-solve-tactics
|
||||
# coq-keywords
|
||||
# coq-reserved
|
||||
# coq-tactics
|
||||
# coq-tacticals
|
||||
# (list "Set" "Type" "Prop"))
|
||||
# append (split-string regexp (regexp-quote "\\s-+")) into words
|
||||
# finally (loop initially (goto-char (point-max))
|
||||
# for word in (delete-dups (sort words 'string<))
|
||||
# do (insert word) (newline)))
|
||||
|
||||
Abort
|
||||
About
|
||||
Abstract
|
||||
Add
|
||||
Admit
|
||||
Admitted
|
||||
All
|
||||
Arguments
|
||||
AutoInline
|
||||
Axiom
|
||||
Bind
|
||||
Canonical
|
||||
Cd
|
||||
Chapter
|
||||
Check
|
||||
Close
|
||||
CoFixpoint
|
||||
CoInductive
|
||||
Coercion
|
||||
Coercions
|
||||
Comments
|
||||
Conjecture
|
||||
Constant
|
||||
Constructors
|
||||
Corollary
|
||||
Declare
|
||||
Defined
|
||||
Definition
|
||||
Delimit
|
||||
Dependent
|
||||
Depth
|
||||
Derive
|
||||
End
|
||||
Eval
|
||||
Export
|
||||
Extern
|
||||
Extract
|
||||
Extraction
|
||||
Fact
|
||||
False
|
||||
Field
|
||||
File
|
||||
Fixpoint
|
||||
Focus
|
||||
Function
|
||||
Functional
|
||||
Goal
|
||||
Hint
|
||||
Hypotheses
|
||||
Hypothesis
|
||||
Hyps
|
||||
Identity
|
||||
If
|
||||
Immediate
|
||||
Implicit
|
||||
Import
|
||||
Inductive
|
||||
Infix
|
||||
Inline
|
||||
Inlined
|
||||
Inspect
|
||||
Inversion
|
||||
Language
|
||||
Lemma
|
||||
Let
|
||||
Library
|
||||
Limit
|
||||
LoadPath
|
||||
Local
|
||||
Locate
|
||||
Ltac
|
||||
ML
|
||||
Module
|
||||
Morphism
|
||||
Next Obligation
|
||||
NoInline
|
||||
Notation
|
||||
Notations
|
||||
Obligation
|
||||
Obligations
|
||||
Off
|
||||
On
|
||||
Opaque
|
||||
Open
|
||||
Optimize
|
||||
Parameter
|
||||
Parameters
|
||||
Path
|
||||
Print
|
||||
Printing
|
||||
Program
|
||||
Proof
|
||||
Prop
|
||||
Pwd
|
||||
Qed
|
||||
Rec
|
||||
Record
|
||||
Recursive
|
||||
Remark
|
||||
Remove
|
||||
Require
|
||||
Reserved
|
||||
Reset
|
||||
Resolve
|
||||
Rewrite
|
||||
Ring
|
||||
Save
|
||||
Scheme
|
||||
Scope
|
||||
Search
|
||||
SearchAbout
|
||||
SearchPattern
|
||||
SearchRewrite
|
||||
Section
|
||||
Semi
|
||||
Set
|
||||
Setoid
|
||||
Show
|
||||
Solve
|
||||
Sort
|
||||
Strict
|
||||
Structure
|
||||
Synth
|
||||
Tactic
|
||||
Test
|
||||
Theorem
|
||||
Time
|
||||
Transparent
|
||||
True
|
||||
Type
|
||||
Undo
|
||||
Unfocus
|
||||
Unfold
|
||||
Unset
|
||||
Variable
|
||||
Variables
|
||||
Width
|
||||
Wildcard
|
||||
abstract
|
||||
absurd
|
||||
after
|
||||
apply
|
||||
as
|
||||
assert
|
||||
assumption
|
||||
at
|
||||
auto
|
||||
autorewrite
|
||||
beta
|
||||
by
|
||||
case
|
||||
cbv
|
||||
change
|
||||
clear
|
||||
clearbody
|
||||
cofix
|
||||
coinduction
|
||||
compare
|
||||
compute
|
||||
congruence
|
||||
constructor
|
||||
contradiction
|
||||
cut
|
||||
cutrewrite
|
||||
decide
|
||||
decompose
|
||||
delta
|
||||
dependent
|
||||
dest
|
||||
destruct
|
||||
discrR
|
||||
discriminate
|
||||
do
|
||||
double
|
||||
eapply
|
||||
eauto
|
||||
econstructor
|
||||
eexists
|
||||
eleft
|
||||
elim
|
||||
else
|
||||
end
|
||||
equality
|
||||
esplit
|
||||
exact
|
||||
exists
|
||||
fail
|
||||
field
|
||||
first
|
||||
firstorder
|
||||
fix
|
||||
fold
|
||||
forall
|
||||
fourier
|
||||
fun
|
||||
functional
|
||||
generalize
|
||||
hnf
|
||||
idtac
|
||||
if
|
||||
in
|
||||
induction
|
||||
info
|
||||
injection
|
||||
instantiate
|
||||
into
|
||||
intro
|
||||
intros
|
||||
intuition
|
||||
inversion
|
||||
inversion_clear
|
||||
iota
|
||||
lapply
|
||||
lazy
|
||||
left
|
||||
let
|
||||
linear
|
||||
load
|
||||
match
|
||||
move
|
||||
omega
|
||||
pattern
|
||||
pose
|
||||
progress
|
||||
prolog
|
||||
quote
|
||||
record
|
||||
red
|
||||
refine
|
||||
reflexivity
|
||||
rename
|
||||
repeat
|
||||
replace
|
||||
return
|
||||
rewrite
|
||||
right
|
||||
ring
|
||||
set
|
||||
setoid
|
||||
setoid_replace
|
||||
setoid_rewrite
|
||||
simpl
|
||||
simple
|
||||
simplify_eq
|
||||
solve
|
||||
specialize
|
||||
split
|
||||
split_Rabs
|
||||
split_Rmult
|
||||
stepl
|
||||
stepr
|
||||
struct
|
||||
subst
|
||||
sum
|
||||
symmetry
|
||||
tauto
|
||||
then
|
||||
transitivity
|
||||
trivial
|
||||
try
|
||||
unfold
|
||||
until
|
||||
using
|
||||
with
|
||||
zeta
|
|
@ -0,0 +1,874 @@
|
|||
!important
|
||||
@font-face
|
||||
@font-feature-values
|
||||
@keyframes
|
||||
ActiveBorder
|
||||
ActiveCaption
|
||||
Alpha
|
||||
AppWorkspace
|
||||
Background
|
||||
Barn
|
||||
BasicImage
|
||||
Blinds
|
||||
Blur
|
||||
ButtonFace
|
||||
ButtonHighlight
|
||||
ButtonShadow
|
||||
ButtonText
|
||||
CaptionText
|
||||
CheckerBoard
|
||||
Chroma
|
||||
Compositor
|
||||
CradientWipe
|
||||
DXImageTransform
|
||||
DropShadow
|
||||
Emboss
|
||||
Engrave
|
||||
Fade
|
||||
FlipH
|
||||
FlipV
|
||||
Glow
|
||||
Gray
|
||||
GrayText
|
||||
Highlight
|
||||
HighlightText
|
||||
Hz
|
||||
ICMFilter
|
||||
InactiveBorder
|
||||
InactiveCaption
|
||||
InactiveCaptionText
|
||||
InfoBackground
|
||||
InfoText
|
||||
Inset
|
||||
Invert
|
||||
Iris
|
||||
Light
|
||||
MaskFilter
|
||||
Matrix
|
||||
Menu
|
||||
MenuText
|
||||
Microsoft
|
||||
MotionBlur
|
||||
Pixelate
|
||||
RadialWipe
|
||||
RandomBars
|
||||
RandomDissolve
|
||||
RevealTrans
|
||||
Scrollbar
|
||||
Shadow
|
||||
Slide
|
||||
Spiral
|
||||
Stretch
|
||||
Strips
|
||||
ThreeDDarkShadow
|
||||
ThreeDFace
|
||||
ThreeDHighlight
|
||||
ThreeDLightShadow
|
||||
ThreeDShadow
|
||||
Wave
|
||||
Wheel
|
||||
Window
|
||||
WindowFrame
|
||||
WindowText
|
||||
Xray
|
||||
Zigzag
|
||||
_azimuth
|
||||
_background
|
||||
_background-position-x
|
||||
_background-position-y
|
||||
_border
|
||||
_bottom
|
||||
_caption
|
||||
_clear
|
||||
_clip
|
||||
_color
|
||||
_content
|
||||
_counter
|
||||
_cue
|
||||
_cursor
|
||||
_direction
|
||||
_display
|
||||
_elevation
|
||||
_empty
|
||||
_filter
|
||||
_filter:progid:DXImageTransform.Microsoft
|
||||
_float
|
||||
_font
|
||||
_height
|
||||
_ime
|
||||
_ime-mode
|
||||
_layout
|
||||
_layout-flow
|
||||
_layout-grid
|
||||
_layout-grid-char
|
||||
_layout-grid-line
|
||||
_layout-grid-mode
|
||||
_layout-grid-type
|
||||
_left
|
||||
_letter
|
||||
_line
|
||||
_line-break
|
||||
_list
|
||||
_margin
|
||||
_orphans
|
||||
_outline
|
||||
_overflow
|
||||
_overflow-x
|
||||
_overflow-y
|
||||
_padding
|
||||
_page
|
||||
_pause
|
||||
_pitch
|
||||
_play
|
||||
_position
|
||||
_quotes
|
||||
_richness
|
||||
_right
|
||||
_ruby
|
||||
_ruby-align
|
||||
_ruby-overhang
|
||||
_ruby-position
|
||||
_scrollbar
|
||||
_scrollbar-3dlight-color
|
||||
_scrollbar-arrow-color
|
||||
_scrollbar-base-color
|
||||
_scrollbar-darkshadow-color
|
||||
_scrollbar-face-color
|
||||
_scrollbar-highlight-color
|
||||
_scrollbar-track-color
|
||||
_speak
|
||||
_speech
|
||||
_stress
|
||||
_table
|
||||
_text
|
||||
_text-align-last
|
||||
_text-autospace
|
||||
_text-justify
|
||||
_text-kashida-space
|
||||
_text-overflow
|
||||
_text-underline-position
|
||||
_top
|
||||
_unicode
|
||||
_vertical
|
||||
_visibility
|
||||
_voice
|
||||
_volume
|
||||
_white
|
||||
_widows
|
||||
_width
|
||||
_word
|
||||
_word-break
|
||||
_word-wrap
|
||||
_writing
|
||||
_writing-mode
|
||||
_z
|
||||
_zoom
|
||||
above
|
||||
active
|
||||
adjust
|
||||
after
|
||||
aliceblue
|
||||
align
|
||||
align-content
|
||||
align-items
|
||||
align-self
|
||||
always
|
||||
animation
|
||||
animation-delay
|
||||
animation-direction
|
||||
animation-duration
|
||||
animation-fill-mode
|
||||
animation-iteration-count
|
||||
animation-name
|
||||
animation-play-state
|
||||
animation-timing-function
|
||||
antiquewhite
|
||||
aqua
|
||||
aquamarine
|
||||
armenian
|
||||
arrow
|
||||
attachment
|
||||
auto
|
||||
autospace
|
||||
avoid
|
||||
azimuth
|
||||
azure
|
||||
backface-visibility
|
||||
background
|
||||
background-attachment
|
||||
background-clip
|
||||
background-color
|
||||
background-image
|
||||
background-origin
|
||||
background-position
|
||||
background-repeat
|
||||
background-size
|
||||
bar
|
||||
base
|
||||
baseline
|
||||
before
|
||||
behind
|
||||
beige
|
||||
below
|
||||
bidi
|
||||
bidi-override
|
||||
bisque
|
||||
black
|
||||
blanchedalmond
|
||||
blink
|
||||
block
|
||||
blue
|
||||
blueviolet
|
||||
bold
|
||||
bolder
|
||||
border
|
||||
border-bottom
|
||||
border-bottom-color
|
||||
border-bottom-left-radius
|
||||
border-bottom-right-radius
|
||||
border-bottom-style
|
||||
border-bottom-width
|
||||
border-collapse
|
||||
border-color
|
||||
border-image
|
||||
border-image-outset
|
||||
border-image-repeat
|
||||
border-image-slice
|
||||
border-image-source
|
||||
border-image-width
|
||||
border-left
|
||||
border-left-color
|
||||
border-left-style
|
||||
border-left-width
|
||||
border-radius
|
||||
border-right
|
||||
border-right-color
|
||||
border-right-style
|
||||
border-right-width
|
||||
border-spacing
|
||||
border-style
|
||||
border-top
|
||||
border-top-color
|
||||
border-top-left-radius
|
||||
border-top-right-radius
|
||||
border-top-style
|
||||
border-top-width
|
||||
border-width
|
||||
both
|
||||
bottom
|
||||
box
|
||||
box-decoration-break
|
||||
box-shadow
|
||||
box-sizing
|
||||
break
|
||||
break-after
|
||||
break-before
|
||||
break-inside
|
||||
brown
|
||||
burlwood
|
||||
cadetblue
|
||||
capitalize
|
||||
caps
|
||||
caption
|
||||
caption-side
|
||||
cell
|
||||
cells
|
||||
center
|
||||
center-left
|
||||
center-right
|
||||
char
|
||||
chartreuse
|
||||
chocolate
|
||||
circle
|
||||
cjk
|
||||
cjk-ideographic
|
||||
clear
|
||||
clip
|
||||
close
|
||||
close-quote
|
||||
cm
|
||||
code
|
||||
collapse
|
||||
color
|
||||
column
|
||||
column-count
|
||||
column-fill
|
||||
column-gap
|
||||
column-rule
|
||||
column-rule-color
|
||||
column-rule-style
|
||||
column-rule-width
|
||||
column-span
|
||||
column-width
|
||||
columns
|
||||
compact
|
||||
condensed
|
||||
content
|
||||
continuous
|
||||
coral
|
||||
cornflowerblue
|
||||
cornsilk
|
||||
counter
|
||||
counter-increment
|
||||
counter-reset
|
||||
crimson
|
||||
crop
|
||||
cross
|
||||
crosshair
|
||||
cue
|
||||
cue-after
|
||||
cue-before
|
||||
cursive
|
||||
cursor
|
||||
cyan
|
||||
darkblue
|
||||
darkcyan
|
||||
darkgoldenrod
|
||||
darkgray
|
||||
darkgreen
|
||||
darkkhaki
|
||||
darkmagenta
|
||||
darkolivegreen
|
||||
darkorange
|
||||
darkorchid
|
||||
darkred
|
||||
darksalmon
|
||||
darkseagreen
|
||||
darkshadow
|
||||
darkslateblue
|
||||
darkslategray
|
||||
darkturquoise
|
||||
darkviolet
|
||||
dashed
|
||||
decimal
|
||||
decimal-leading-zero
|
||||
decoration
|
||||
deeppink
|
||||
deepskyblue
|
||||
default
|
||||
deg
|
||||
digits
|
||||
dimgray
|
||||
direction
|
||||
disc
|
||||
display
|
||||
dodgerblue
|
||||
dotted
|
||||
double
|
||||
during
|
||||
e
|
||||
e-resize
|
||||
elevation
|
||||
em
|
||||
embed
|
||||
empty
|
||||
empty-cells
|
||||
ex
|
||||
expanded
|
||||
extra
|
||||
extra-condensed
|
||||
extra-expanded
|
||||
face
|
||||
family
|
||||
fantasy
|
||||
far
|
||||
far-left
|
||||
far-right
|
||||
fast
|
||||
faster
|
||||
filter
|
||||
firebrick
|
||||
first
|
||||
first-child
|
||||
first-letter
|
||||
first-line
|
||||
fixed
|
||||
flex
|
||||
flex-basis
|
||||
flex-direction
|
||||
flex-flow
|
||||
flex-grow
|
||||
flex-shrink
|
||||
flex-wrap
|
||||
float
|
||||
floralwhite
|
||||
flow
|
||||
focus
|
||||
font
|
||||
font-family
|
||||
font-feature-setting
|
||||
font-kerning
|
||||
font-language-override
|
||||
font-size
|
||||
font-size-adjust
|
||||
font-stretch
|
||||
font-style
|
||||
font-synthesis
|
||||
font-variant
|
||||
font-variant-alternates
|
||||
font-variant-caps
|
||||
font-variant-east-asian
|
||||
font-variant-ligatures
|
||||
font-variant-numeric
|
||||
font-variant-position
|
||||
font-weight
|
||||
footer
|
||||
forestgreen
|
||||
fuchsia
|
||||
gainsboro
|
||||
georgian
|
||||
ghostwhite
|
||||
gold
|
||||
goldenrod
|
||||
gray
|
||||
greek
|
||||
green
|
||||
greenyellow
|
||||
grid
|
||||
groove
|
||||
group
|
||||
hanging-punctuation
|
||||
header
|
||||
hebrew
|
||||
height
|
||||
help
|
||||
hidden
|
||||
hide
|
||||
high
|
||||
higher
|
||||
hiragana
|
||||
hiragana-iroha
|
||||
honeydew
|
||||
hotpink
|
||||
hover
|
||||
hyphens
|
||||
icon
|
||||
ideographic
|
||||
image
|
||||
image-orientation
|
||||
image-rendering
|
||||
image-resolution
|
||||
ime-mode
|
||||
in
|
||||
increment
|
||||
indent
|
||||
index
|
||||
indianred
|
||||
indigo
|
||||
inherit
|
||||
inline
|
||||
inline-block
|
||||
inline-table
|
||||
inset
|
||||
inside
|
||||
iroha
|
||||
italic
|
||||
item
|
||||
ivory
|
||||
justify
|
||||
justify-content
|
||||
kHz
|
||||
kashida
|
||||
katakana
|
||||
katakana-iroha
|
||||
khaki
|
||||
landscape
|
||||
lang()
|
||||
large
|
||||
larger
|
||||
last
|
||||
latin
|
||||
lavender
|
||||
lavenderblush
|
||||
lawngreen
|
||||
layout
|
||||
leading
|
||||
left
|
||||
left-side
|
||||
leftwards
|
||||
lenonchiffon
|
||||
letter
|
||||
letter-spacing
|
||||
level
|
||||
lightblue
|
||||
lightcoral
|
||||
lightcyan
|
||||
lighter
|
||||
lightgoldenrodyellow
|
||||
lightgray
|
||||
lightgreen
|
||||
lightgrey
|
||||
lightpink
|
||||
lightsalmon
|
||||
lightseagreen
|
||||
lightskyblue
|
||||
lightslategray
|
||||
lightsteelblue
|
||||
lightyellow
|
||||
lime
|
||||
limegreen
|
||||
line
|
||||
line-break
|
||||
line-height
|
||||
line-through
|
||||
linen
|
||||
link
|
||||
list
|
||||
list-item
|
||||
list-style
|
||||
list-style-image
|
||||
list-style-position
|
||||
list-style-type
|
||||
loud
|
||||
low
|
||||
lower
|
||||
lower-alpha
|
||||
lower-greek
|
||||
lower-latin
|
||||
lower-roman
|
||||
lowercase
|
||||
ltr
|
||||
magenta
|
||||
margin
|
||||
margin-bottom
|
||||
margin-left
|
||||
margin-right
|
||||
margin-top
|
||||
mark
|
||||
mark-after
|
||||
mark-before
|
||||
marker
|
||||
marker-offset
|
||||
marks
|
||||
maroon
|
||||
marquee-direction
|
||||
marquee-play-count
|
||||
marquee-speed
|
||||
marquee-style
|
||||
mask
|
||||
mask-type
|
||||
max
|
||||
max-height
|
||||
max-width
|
||||
medium
|
||||
mediumaquamarine
|
||||
mediumblue
|
||||
mediumorchid
|
||||
mediumpurple
|
||||
mediumseagreen
|
||||
mediumslateblue
|
||||
mediumspringgreen
|
||||
mediumturquoise
|
||||
mediumvioletred
|
||||
menu
|
||||
message
|
||||
message-box
|
||||
middle
|
||||
midnightblue
|
||||
min
|
||||
min-height
|
||||
min-width
|
||||
mintcream
|
||||
mistyrose
|
||||
mix
|
||||
mm
|
||||
moccasin
|
||||
mode
|
||||
monospace
|
||||
move
|
||||
ms
|
||||
n
|
||||
n-resize
|
||||
naby
|
||||
narrower
|
||||
nav-down
|
||||
nav-index
|
||||
nav-left
|
||||
nav-right
|
||||
nav-up
|
||||
navajowhite
|
||||
ne
|
||||
ne-resize
|
||||
no
|
||||
no-close-quote
|
||||
no-open-quote
|
||||
no-repeat
|
||||
none
|
||||
normal
|
||||
nowrap
|
||||
number
|
||||
numeral
|
||||
nw
|
||||
nw-resize
|
||||
object-fit
|
||||
object-position
|
||||
oblique
|
||||
offset
|
||||
oldlace
|
||||
olive
|
||||
olivedrab
|
||||
once
|
||||
opacity
|
||||
open
|
||||
open-quote
|
||||
orange
|
||||
orangered
|
||||
orchid
|
||||
order
|
||||
orphans
|
||||
out
|
||||
outline
|
||||
outline-color
|
||||
outline-offset
|
||||
outline-style
|
||||
outline-width
|
||||
outset
|
||||
outside
|
||||
overflow
|
||||
overflow-wrap
|
||||
overflow-x
|
||||
overflow-y
|
||||
overhang
|
||||
overline
|
||||
override
|
||||
padding
|
||||
padding-bottom
|
||||
padding-left
|
||||
padding-right
|
||||
padding-top
|
||||
page
|
||||
page-break-after
|
||||
page-break-before
|
||||
page-break-inside
|
||||
palegoldenrod
|
||||
palegreen
|
||||
paleturquoise
|
||||
palevioletred
|
||||
papayawhip
|
||||
pause
|
||||
pause-after
|
||||
pause-before
|
||||
pc
|
||||
peachpuff
|
||||
perspective
|
||||
perspective-origin
|
||||
peru
|
||||
phonemes
|
||||
pink
|
||||
pitch
|
||||
pitch-range
|
||||
play
|
||||
play-during
|
||||
plum
|
||||
pointer
|
||||
portrait
|
||||
position
|
||||
powderblue
|
||||
pre
|
||||
pre-line
|
||||
pre-wrap
|
||||
progid
|
||||
progress
|
||||
pt
|
||||
punctuation
|
||||
purple
|
||||
px
|
||||
quote
|
||||
quotes
|
||||
rad
|
||||
range
|
||||
rate
|
||||
red
|
||||
relative
|
||||
repeat
|
||||
repeat-x
|
||||
repeat-y
|
||||
reset
|
||||
resize
|
||||
rest
|
||||
rest-after
|
||||
rest-before
|
||||
richness
|
||||
ridge
|
||||
right
|
||||
right-side
|
||||
rightwards
|
||||
roman
|
||||
rosybrown
|
||||
row
|
||||
royalblue
|
||||
rtl
|
||||
run
|
||||
run-in
|
||||
s
|
||||
s-resize
|
||||
saddlebrown
|
||||
salmon
|
||||
sandybrown
|
||||
sans-serif
|
||||
scroll
|
||||
se
|
||||
se-resize
|
||||
seagreen
|
||||
seashell
|
||||
semi
|
||||
semi-condensed
|
||||
semi-expanded
|
||||
separate
|
||||
serif
|
||||
shadow
|
||||
show
|
||||
side
|
||||
sienna
|
||||
silent
|
||||
silever
|
||||
silver
|
||||
size
|
||||
skyblue
|
||||
slateblue
|
||||
slategray
|
||||
slow
|
||||
slower
|
||||
small
|
||||
small-caps
|
||||
small-caption
|
||||
smaller
|
||||
snow
|
||||
soft
|
||||
solid
|
||||
space
|
||||
spacing
|
||||
speak
|
||||
speak-header
|
||||
speak-numeral
|
||||
speak-punctuation
|
||||
specific
|
||||
specific-voice
|
||||
speech
|
||||
speech-rate
|
||||
spell
|
||||
spell-out
|
||||
springgreen
|
||||
square
|
||||
static
|
||||
status
|
||||
status-bar
|
||||
steelblue
|
||||
stress
|
||||
stretch
|
||||
style
|
||||
sub
|
||||
super
|
||||
sw
|
||||
sw-resize
|
||||
tab-size
|
||||
table
|
||||
table-caption
|
||||
table-cell
|
||||
table-column
|
||||
table-column-group
|
||||
table-footer-group
|
||||
table-header-group
|
||||
table-layout
|
||||
table-row
|
||||
table-row-group
|
||||
tan
|
||||
teal
|
||||
text
|
||||
text-align
|
||||
text-align-last
|
||||
text-bottom
|
||||
text-combine-horizontal
|
||||
text-decoration
|
||||
text-decoration-color
|
||||
text-decoration-line
|
||||
text-decoration-style
|
||||
text-indent
|
||||
text-justify
|
||||
text-orientation
|
||||
text-overflow
|
||||
text-shadow
|
||||
text-top
|
||||
text-transform
|
||||
text-underline-position
|
||||
thick
|
||||
thin
|
||||
thistle
|
||||
through
|
||||
tomato
|
||||
top
|
||||
track
|
||||
transform
|
||||
transform-origin
|
||||
transform-style
|
||||
transition
|
||||
transition-delay
|
||||
transition-duration
|
||||
transition-property
|
||||
transition-timing-function
|
||||
transparent
|
||||
turquoise
|
||||
type
|
||||
ultra
|
||||
ultra-condensed
|
||||
ultra-expanded
|
||||
underline
|
||||
unicode
|
||||
unicode-bidi
|
||||
upper
|
||||
upper-alpha
|
||||
upper-latin
|
||||
upper-roman
|
||||
uppercase
|
||||
variant
|
||||
vertical
|
||||
vertical-align
|
||||
violet
|
||||
visibility
|
||||
visible
|
||||
visited
|
||||
voice
|
||||
voice-balance
|
||||
voice-duration
|
||||
voice-family
|
||||
voice-pitch
|
||||
voice-pitch-range
|
||||
voice-rate
|
||||
voice-stress
|
||||
voice-volume
|
||||
volume
|
||||
w
|
||||
w-resize
|
||||
wait
|
||||
weight
|
||||
wheat
|
||||
white
|
||||
white-space
|
||||
whitesmoke
|
||||
wider
|
||||
widows
|
||||
width
|
||||
word
|
||||
word-break
|
||||
word-spacing
|
||||
word-wrap
|
||||
wrap
|
||||
writing-mode
|
||||
x
|
||||
x-fast
|
||||
x-high
|
||||
x-large
|
||||
x-loud
|
||||
x-low
|
||||
x-slow
|
||||
x-small
|
||||
x-soft
|
||||
xx
|
||||
xx-large
|
||||
xx-small
|
||||
y
|
||||
yellow
|
||||
yellowgreen
|
||||
z
|
||||
z-index
|
||||
zero
|
|
@ -0,0 +1,216 @@
|
|||
after
|
||||
begin
|
||||
catch
|
||||
case
|
||||
cond
|
||||
end
|
||||
fun
|
||||
if
|
||||
let
|
||||
of
|
||||
query
|
||||
receive
|
||||
try
|
||||
when
|
||||
and
|
||||
andalso
|
||||
band
|
||||
bnot
|
||||
bor
|
||||
bsl
|
||||
bsr
|
||||
bxor
|
||||
div
|
||||
not
|
||||
or
|
||||
orelse
|
||||
rem
|
||||
xor
|
||||
is_atom
|
||||
is_binary
|
||||
is_bitstring
|
||||
is_boolean
|
||||
is_float
|
||||
is_function
|
||||
is_integer
|
||||
is_list
|
||||
is_number
|
||||
is_pid
|
||||
is_port
|
||||
is_record
|
||||
is_reference
|
||||
is_tuple
|
||||
atom
|
||||
binary
|
||||
bitstring
|
||||
boolean
|
||||
function
|
||||
integer
|
||||
list
|
||||
number
|
||||
pid
|
||||
port
|
||||
record
|
||||
reference
|
||||
tuple
|
||||
abs
|
||||
adler32
|
||||
adler32_combine
|
||||
alive
|
||||
apply
|
||||
atom_to_binary
|
||||
atom_to_list
|
||||
binary_to_atom
|
||||
binary_to_existing_atom
|
||||
binary_to_list
|
||||
binary_to_term
|
||||
bit_size
|
||||
bitstring_to_list
|
||||
byte_size
|
||||
check_process_code
|
||||
contact_binary
|
||||
crc32
|
||||
crc32_combine
|
||||
date
|
||||
decode_packet
|
||||
delete_module
|
||||
disconnect_node
|
||||
element
|
||||
erase
|
||||
exit
|
||||
float
|
||||
float_to_list
|
||||
garbage_collect
|
||||
get
|
||||
get_keys
|
||||
group_leader
|
||||
halt
|
||||
hd
|
||||
integer_to_list
|
||||
internal_bif
|
||||
iolist_size
|
||||
iolist_to_binary
|
||||
is_alive
|
||||
is_atom
|
||||
is_binary
|
||||
is_bitstring
|
||||
is_boolean
|
||||
is_float
|
||||
is_function
|
||||
is_integer
|
||||
is_list
|
||||
is_number
|
||||
is_pid
|
||||
is_port
|
||||
is_process_alive
|
||||
is_record
|
||||
is_reference
|
||||
is_tuple
|
||||
length
|
||||
link
|
||||
list_to_atom
|
||||
list_to_binary
|
||||
list_to_bitstring
|
||||
list_to_existing_atom
|
||||
list_to_float
|
||||
list_to_integer
|
||||
list_to_pid
|
||||
list_to_tuple
|
||||
load_module
|
||||
make_ref
|
||||
module_loaded
|
||||
monitor_node
|
||||
node
|
||||
node_link
|
||||
node_unlink
|
||||
nodes
|
||||
notalive
|
||||
now
|
||||
open_port
|
||||
pid_to_list
|
||||
port_close
|
||||
port_command
|
||||
port_connect
|
||||
port_control
|
||||
pre_loaded
|
||||
process_flag
|
||||
process_info
|
||||
processes
|
||||
purge_module
|
||||
put
|
||||
register
|
||||
registered
|
||||
round
|
||||
self
|
||||
setelement
|
||||
size
|
||||
spawn
|
||||
spawn_link
|
||||
spawn_monitor
|
||||
spawn_opt
|
||||
split_binary
|
||||
statistics
|
||||
term_to_binary
|
||||
time
|
||||
throw
|
||||
tl
|
||||
trunc
|
||||
tuple_size
|
||||
tuple_to_list
|
||||
unlink
|
||||
unregister
|
||||
whereis
|
||||
append_element
|
||||
bump_reductions
|
||||
cancel_timer
|
||||
demonitor
|
||||
display
|
||||
fun_info
|
||||
fun_to_list
|
||||
function_exported
|
||||
get_cookie
|
||||
get_stacktrace
|
||||
hash
|
||||
integer_to_list
|
||||
is_builtin
|
||||
list_to_integer
|
||||
loaded
|
||||
localtime
|
||||
localtime_to_universaltime
|
||||
make_tuple
|
||||
max
|
||||
md5
|
||||
md5_final
|
||||
md5_init
|
||||
md5_update
|
||||
memory
|
||||
min
|
||||
monitor
|
||||
monitor_node
|
||||
phash
|
||||
phash2
|
||||
port_call
|
||||
port_info
|
||||
port_to_list
|
||||
ports
|
||||
process_display
|
||||
read_timer
|
||||
ref_to_list
|
||||
resume_process
|
||||
send
|
||||
send_after
|
||||
send_nosuspend
|
||||
set_cookie
|
||||
start_timer
|
||||
suspend_process
|
||||
system_flag
|
||||
system_info
|
||||
system_monitor
|
||||
system_profile
|
||||
trace
|
||||
trace_delivered
|
||||
trace_info
|
||||
trace_pattern
|
||||
universaltime
|
||||
universaltime_to_localtime
|
||||
yield
|
|
@ -0,0 +1,37 @@
|
|||
abstract
|
||||
break
|
||||
case
|
||||
catch
|
||||
const
|
||||
continue
|
||||
do
|
||||
else
|
||||
elseif
|
||||
end
|
||||
eval
|
||||
export
|
||||
false
|
||||
finally
|
||||
for
|
||||
function
|
||||
global
|
||||
if
|
||||
ifelse
|
||||
immutable
|
||||
import
|
||||
importall
|
||||
in
|
||||
let
|
||||
macro
|
||||
module
|
||||
otherwise
|
||||
quote
|
||||
return
|
||||
switch
|
||||
throw
|
||||
true
|
||||
try
|
||||
type
|
||||
typealias
|
||||
using
|
||||
while
|
|
@ -0,0 +1,25 @@
|
|||
break
|
||||
case
|
||||
chan
|
||||
const
|
||||
continue
|
||||
default
|
||||
defer
|
||||
else
|
||||
fallthrough
|
||||
for
|
||||
func
|
||||
go
|
||||
goto
|
||||
if
|
||||
import
|
||||
interface
|
||||
map
|
||||
package
|
||||
range
|
||||
return
|
||||
select
|
||||
struct
|
||||
switch
|
||||
type
|
||||
var
|
|
@ -0,0 +1,679 @@
|
|||
Arrows
|
||||
BangPatterns
|
||||
Bool
|
||||
Bounded
|
||||
CPP
|
||||
Char
|
||||
Complex
|
||||
ConstrainedClassMethods
|
||||
Control.Applicative
|
||||
Control.Arrow
|
||||
Control.Category
|
||||
Control.Concurrent
|
||||
Control.Concurrent.MVar
|
||||
Control.Concurrent.QSem
|
||||
Control.Concurrent.QSemN
|
||||
Control.Concurrent.STM
|
||||
Control.Concurrent.STM.TArray
|
||||
Control.Concurrent.STM.TChan
|
||||
Control.Concurrent.STM.TMVar
|
||||
Control.Concurrent.STM.TVar
|
||||
Control.Concurrent.SampleVar
|
||||
Control.Exception
|
||||
Control.Exception.Base
|
||||
Control.Monad
|
||||
Control.Monad.Cont
|
||||
Control.Monad.Cont.Class
|
||||
Control.Monad.Error
|
||||
Control.Monad.Error.Class
|
||||
Control.Monad.Fix
|
||||
Control.Monad.Identity
|
||||
Control.Monad.Instances
|
||||
Control.Monad.List
|
||||
Control.Monad.RWS
|
||||
Control.Monad.RWS.Class
|
||||
Control.Monad.RWS.Lazy
|
||||
Control.Monad.RWS.Strict
|
||||
Control.Monad.Reader
|
||||
Control.Monad.Reader.Class
|
||||
Control.Monad.ST
|
||||
Control.Monad.ST.Lazy
|
||||
Control.Monad.ST.Strict
|
||||
Control.Monad.STM
|
||||
Control.Monad.State
|
||||
Control.Monad.State.Class
|
||||
Control.Monad.State.Lazy
|
||||
Control.Monad.State.Strict
|
||||
Control.Monad.Trans
|
||||
Control.Monad.Writer
|
||||
Control.Monad.Writer.Class
|
||||
Control.Monad.Writer.Lazy
|
||||
Control.Monad.Writer.Strict
|
||||
Control.OldException
|
||||
Control.Parallel
|
||||
Control.Parallel.Strategies
|
||||
DEPRECATED
|
||||
Data.Array
|
||||
Data.Array.Diff
|
||||
Data.Array.IArray
|
||||
Data.Array.IO
|
||||
Data.Array.IO.Internals
|
||||
Data.Array.MArray
|
||||
Data.Array.Paralell
|
||||
Data.Array.Paralell.Arr
|
||||
Data.Array.Paralell.Base
|
||||
Data.Array.Paralell.Int
|
||||
Data.Array.Paralell.Lifted
|
||||
Data.Array.Paralell.PArray
|
||||
Data.Array.Paralell.Prelude
|
||||
Data.Array.Paralell.Prelude.Double
|
||||
Data.Array.Paralell.Stream
|
||||
Data.Array.Paralell.Unlifted
|
||||
Data.Array.Paralell.Unlifted.Distributed
|
||||
Data.Array.Paralell.Unlifted.Paralell
|
||||
Data.Array.Paralell.Unlifted.Sqeuential
|
||||
Data.Array.Paralell.Word8
|
||||
Data.Array.ST
|
||||
Data.Array.Storable
|
||||
Data.Array.Unboxed
|
||||
Data.Bits
|
||||
Data.Bool
|
||||
Data.ByteString
|
||||
Data.ByteString.Char8
|
||||
Data.ByteString.Fusion
|
||||
Data.ByteString.Internal
|
||||
Data.ByteString.Lazy
|
||||
Data.ByteString.Lazy.Char8
|
||||
Data.ByteString.Lazy.Fusion
|
||||
Data.ByteString.Lazy.Internal
|
||||
Data.ByteString.Unsafe
|
||||
Data.Char
|
||||
Data.Complex
|
||||
Data.Data
|
||||
Data.Dynamic
|
||||
Data.Either
|
||||
Data.Eq
|
||||
Data.Fixed
|
||||
Data.Foldable
|
||||
Data.Function
|
||||
Data.Generics
|
||||
Data.Generics.Aliases
|
||||
Data.Generics.Basics
|
||||
Data.Generics.Instances
|
||||
Data.Generics.Schemes
|
||||
Data.Generics.Text
|
||||
Data.Generics.Twins
|
||||
Data.Graph
|
||||
Data.HashTable
|
||||
Data.IORef
|
||||
Data.Int
|
||||
Data.IntMap
|
||||
Data.IntSet
|
||||
Data.Ix
|
||||
Data.List
|
||||
Data.Map
|
||||
Data.Maybe
|
||||
Data.Monoid
|
||||
Data.Ord
|
||||
Data.Ratio
|
||||
Data.STRef
|
||||
Data.STRef.Lazy
|
||||
Data.STRef.Strict
|
||||
Data.Sequence
|
||||
Data.Set
|
||||
Data.String
|
||||
Data.Time
|
||||
Data.Time.Calendar
|
||||
Data.Time.Calendar.Easter
|
||||
Data.Time.Calendar.Julian
|
||||
Data.Time.Calendar.MonthDay
|
||||
Data.Time.Calendar.OrdinalDate
|
||||
Data.Time.Calendar.WeekDate
|
||||
Data.Time.Clock
|
||||
Data.Time.Clock.POSIX
|
||||
Data.Time.Clock.TAI
|
||||
Data.Time.Format
|
||||
Data.Time.LocalTime
|
||||
Data.Traversable
|
||||
Data.Tree
|
||||
Data.Tuple
|
||||
Data.Typeable
|
||||
Data.Unique
|
||||
Data.Version
|
||||
Data.Word
|
||||
Debug.Trace
|
||||
DeriveDataTypeable
|
||||
DisambiguateRecordFields
|
||||
Distribution.Compat.ReadP
|
||||
Distribution.Compiler
|
||||
Distribution.InstalledPackageInfo
|
||||
Distribution.License
|
||||
Distribution.Make
|
||||
Distribution.ModuleName
|
||||
Distribution.Package
|
||||
Distribution.PackageDescription
|
||||
Distribution.PackageDescription.Check
|
||||
Distribution.PackageDescription.Configuration
|
||||
Distribution.PackageDescription.Parse
|
||||
Distribution.ParseUtils
|
||||
Distribution.ReadE
|
||||
Distribution.Simple
|
||||
Distribution.Simple.Build
|
||||
Distribution.Simple.Build.Macros
|
||||
Distribution.Simple.Build.PathsModule
|
||||
Distribution.Simple.BuildPaths
|
||||
Distribution.Simple.Command
|
||||
Distribution.Simple.Compiler
|
||||
Distribution.Simple.Configure
|
||||
Distribution.Simple.GHC
|
||||
Distribution.Simple.Haddock
|
||||
Distribution.Simple.Hugs
|
||||
Distribution.Simple.Install
|
||||
Distribution.Simple.InstallDirs
|
||||
Distribution.Simple.JHC
|
||||
Distribution.Simple.LocalBuildInfo
|
||||
Distribution.Simple.NHC
|
||||
Distribution.Simple.PackageIndex
|
||||
Distribution.Simple.PreProcess
|
||||
Distribution.Simple.PreProcess.Unlit
|
||||
Distribution.Simple.Program
|
||||
Distribution.Simple.Register
|
||||
Distribution.Simple.Setup
|
||||
Distribution.Simple.SrcDist
|
||||
Distribution.Simple.UserHooks
|
||||
Distribution.Simple.Utils
|
||||
Distribution.System
|
||||
Distribution.Text
|
||||
Distribution.Verbosity
|
||||
Distribution.Version
|
||||
Double
|
||||
EQ
|
||||
Either
|
||||
EmptyDataDecls
|
||||
Enum
|
||||
Eq
|
||||
ExistentialQuantification
|
||||
ExtendedDefaultRules
|
||||
False
|
||||
FilePath
|
||||
FlexibleContexts
|
||||
FlexibleInstances
|
||||
Float
|
||||
Floating
|
||||
Foreign
|
||||
Foreign.C
|
||||
Foreign.C.Error
|
||||
Foreign.C.String
|
||||
Foreign.C.Types
|
||||
Foreign.Concurrent
|
||||
Foreign.ForeignPtr
|
||||
Foreign.Marshal
|
||||
Foreign.Marshal.Alloc
|
||||
Foreign.Marshal.Array
|
||||
Foreign.Marshal.Error
|
||||
Foreign.Marshal.Pool
|
||||
Foreign.Marshal.Utils
|
||||
Foreign.Ptr
|
||||
Foreign.StablePtr
|
||||
Foreign.Storable
|
||||
ForeignFunctionInterface
|
||||
Fractional
|
||||
FunctionnalDependencies
|
||||
Functor
|
||||
GADTs
|
||||
GHC.Arr
|
||||
GHC.Bool
|
||||
GHC.Conc
|
||||
GHC.ConsoleHandler
|
||||
GHC.Desugar
|
||||
GHC.Environment
|
||||
GHC.Err
|
||||
GHC.Exts
|
||||
GHC.Generics
|
||||
GHC.Handle
|
||||
GHC.Ordering
|
||||
GHC.PArr
|
||||
GHC.Prim
|
||||
GHC.PrimopWrappers
|
||||
GHC.Tuple
|
||||
GHC.Types
|
||||
GHC.Unicode
|
||||
GHC.Unit
|
||||
GT
|
||||
GeneralizedNewtypeDeriving
|
||||
Generics
|
||||
INCLUDE
|
||||
INLINE
|
||||
IO
|
||||
IOError
|
||||
IOException
|
||||
ImplicitParams
|
||||
ImplicitPrelude
|
||||
ImpredicativeTypes
|
||||
IncoherentInstances
|
||||
Int
|
||||
Integer
|
||||
Integral
|
||||
Just
|
||||
KindSignatures
|
||||
LANGUAGE
|
||||
LINE
|
||||
LT
|
||||
Language.Haskell.Extension
|
||||
Language.Haskell.Lexer
|
||||
Language.Haskell.ParseMonad
|
||||
Language.Haskell.ParseUtils
|
||||
Language.Haskell.Parser
|
||||
Language.Haskell.Pretty
|
||||
Language.Haskell.Syntax
|
||||
Language.Haskell.TH
|
||||
Language.Haskell.TH.Lib
|
||||
Language.Haskell.TH.Ppr
|
||||
Language.Haskell.TH.PprLib
|
||||
Language.Haskell.TH.Quote
|
||||
Language.Haskell.TH.Syntax
|
||||
Left
|
||||
LiberalTypeSynonyms
|
||||
MagicHash
|
||||
Maybe
|
||||
Monad
|
||||
MonoPatBinds
|
||||
MonomorphismRestriction
|
||||
MultiParamTypeClasses
|
||||
NOINLINE
|
||||
NamedFieldPuns
|
||||
Network
|
||||
Network.BSD
|
||||
Network.Socket
|
||||
Network.URI
|
||||
NewQualifiedOperators
|
||||
NoArrows
|
||||
NoBangPatterns
|
||||
NoCPP
|
||||
NoConstrainedClassMethods
|
||||
NoDeriveDataTypeable
|
||||
NoDisambiguateRecordFields
|
||||
NoEmptyDataDecls
|
||||
NoExistentialQuantification
|
||||
NoExtendedDefaultRules
|
||||
NoFlexibleContexts
|
||||
NoFlexibleInstances
|
||||
NoForeignFunctionInterface
|
||||
NoFunctionnalDependencies
|
||||
NoGADTs
|
||||
NoGeneralizedNewtypeDeriving
|
||||
NoGenerics
|
||||
NoImplicitParams
|
||||
NoImplicitPrelude
|
||||
NoImpredicativeTypes
|
||||
NoIncoherentInstances
|
||||
NoKindSignatures
|
||||
NoLiberalTypeSynonyms
|
||||
NoMagicHash
|
||||
NoMonoPatBinds
|
||||
NoMonomorphismRestriction
|
||||
NoMultiParamTypeClasses
|
||||
NoNamedFieldPuns
|
||||
NoNewQualifiedOperators
|
||||
NoOverlappingInstances
|
||||
NoOverloadedStrings
|
||||
NoPArr
|
||||
NoPackageImports
|
||||
NoParallelListComp
|
||||
NoPatternGuards
|
||||
NoPolymorphicComponents
|
||||
NoQuasiQuotes
|
||||
NoRank2Types
|
||||
NoRankNTypes
|
||||
NoRecordWildCards
|
||||
NoRecursiveDo
|
||||
NoRelaxedPolyRec
|
||||
NoScopedTypeVariables
|
||||
NoStandaloneDeriving
|
||||
NoTemplateHaskell
|
||||
NoTransformListComp
|
||||
NoTypeFamilies
|
||||
NoTypeOperators
|
||||
NoTypeSynonymInstances
|
||||
NoUnboxedTuples
|
||||
NoUndecidableInstances
|
||||
NoUnicodeSyntax
|
||||
NoUnliftedFFITypes
|
||||
NoViewPatterns
|
||||
Nothing
|
||||
Num
|
||||
Numeric
|
||||
OPTIONS_GHC
|
||||
Ord
|
||||
Ordering
|
||||
OverlappingInstances
|
||||
OverloadedStrings
|
||||
PArr
|
||||
PackageImports
|
||||
ParallelListComp
|
||||
PatternGuards
|
||||
PolymorphicComponents
|
||||
Prelude
|
||||
QuasiQuotes
|
||||
RULES
|
||||
Rank2Types
|
||||
RankNTypes
|
||||
Ratio
|
||||
Read
|
||||
ReadS
|
||||
Real
|
||||
RealFloat
|
||||
RealFrac
|
||||
RecordWildCards
|
||||
RecursiveDo
|
||||
RelaxedPolyRec
|
||||
Right
|
||||
SOURCE
|
||||
SPECIALIZE
|
||||
ScopedTypeVariables
|
||||
ShowS
|
||||
StandaloneDeriving
|
||||
String
|
||||
System.CPUTime
|
||||
System.Cmd
|
||||
System.Console.Editline
|
||||
System.Console.GetOpt
|
||||
System.Console.Readline
|
||||
System.Directory
|
||||
System.Environment
|
||||
System.Exit
|
||||
System.FilePath
|
||||
System.FilePath.Posix
|
||||
System.FilePath.Windows
|
||||
System.IO
|
||||
System.IO.Error
|
||||
System.IO.Unsafe
|
||||
System.Info
|
||||
System.Locale
|
||||
System.Mem
|
||||
System.Mem.StableName
|
||||
System.Mem.Weak
|
||||
System.Posix
|
||||
System.Posix.Directory
|
||||
System.Posix.DynamicLinker
|
||||
System.Posix.DynamicLinker.Module
|
||||
System.Posix.DynamicLinker.Prim
|
||||
System.Posix.Env
|
||||
System.Posix.Error
|
||||
System.Posix.Files
|
||||
System.Posix.IO
|
||||
System.Posix.Process
|
||||
System.Posix.Process.Internals
|
||||
System.Posix.Resource
|
||||
System.Posix.Semaphore
|
||||
System.Posix.SharedMem
|
||||
System.Posix.Signals
|
||||
System.Posix.Signals.Exts
|
||||
System.Posix.Temp
|
||||
System.Posix.Terminal
|
||||
System.Posix.Time
|
||||
System.Posix.Types
|
||||
System.Posix.Unistd
|
||||
System.Posix.User
|
||||
System.Process
|
||||
System.Random
|
||||
System.Time
|
||||
System.Timeout
|
||||
TemplateHaskell
|
||||
Test.HUnit
|
||||
Test.HUnit.Base
|
||||
Test.HUnit.Lang
|
||||
Test.HUnit.Terminal
|
||||
Test.HUnit.Text
|
||||
Test.QuickCheck
|
||||
Test.QuickCheck.Batch
|
||||
Test.QuickCheck.Poly
|
||||
Test.QuickCheck.Utils
|
||||
Text.Html
|
||||
Text.Html.BlockTable
|
||||
Text.ParserCombinators.Parsec
|
||||
Text.ParserCombinators.Parsec.Char
|
||||
Text.ParserCombinators.Parsec.Combinator
|
||||
Text.ParserCombinators.Parsec.Error
|
||||
Text.ParserCombinators.Parsec.Expr
|
||||
Text.ParserCombinators.Parsec.Language
|
||||
Text.ParserCombinators.Parsec.Perm
|
||||
Text.ParserCombinators.Parsec.Pos
|
||||
Text.ParserCombinators.Parsec.Prim
|
||||
Text.ParserCombinators.Parsec.Token
|
||||
Text.ParserCombinators.ReadP
|
||||
Text.ParserCombinators.ReadPrec
|
||||
Text.PrettyPrint
|
||||
Text.PrettyPrint.HughesPJ
|
||||
Text.Printf
|
||||
Text.Read
|
||||
Text.Read.Lex
|
||||
Text.Regex.Base
|
||||
Text.Regex.Base.Context
|
||||
Text.Regex.Base.Impl
|
||||
Text.Regex.Base.RegexLike
|
||||
Text.Regex.Posix
|
||||
Text.Regex.Posix.ByteString
|
||||
Text.Regex.Posix.String
|
||||
Text.Regex.Posix.Wrap
|
||||
Text.Show
|
||||
Text.Show.Functions
|
||||
Text.XHtml
|
||||
Text.XHtml.Debug
|
||||
Text.XHtml.Frameset
|
||||
Text.XHtml.Strict
|
||||
Text.XHtml.Table
|
||||
Text.XHtml.Transitional
|
||||
Trace.Hpc.Mix
|
||||
Trace.Hpc.Reflect
|
||||
Trace.Hpc.Tix
|
||||
Trace.Hpc.Util
|
||||
TransformListComp
|
||||
True
|
||||
TypeFamilies
|
||||
TypeOperators
|
||||
TypeSynonymInstances
|
||||
UNPACK
|
||||
UnboxedTuples
|
||||
UndecidableInstances
|
||||
UnicodeSyntax
|
||||
UnliftedFFITypes
|
||||
Unsafe.Coerce
|
||||
ViewPatterns
|
||||
WARNING
|
||||
abs
|
||||
acos
|
||||
acosh
|
||||
all
|
||||
and
|
||||
any
|
||||
appendFile
|
||||
as
|
||||
asTypeOf
|
||||
asin
|
||||
asinh
|
||||
atan
|
||||
atan2
|
||||
atanh
|
||||
break
|
||||
case
|
||||
catch
|
||||
ceiling
|
||||
class
|
||||
compare
|
||||
concat
|
||||
concatMap
|
||||
const
|
||||
cos
|
||||
cosh
|
||||
curry
|
||||
cycle
|
||||
data
|
||||
decodeFloat
|
||||
default
|
||||
deriving
|
||||
div
|
||||
divMod
|
||||
do
|
||||
drop
|
||||
dropWhile
|
||||
either
|
||||
elem
|
||||
else
|
||||
encodeFloat
|
||||
enumFrom
|
||||
enumFromThen
|
||||
enumFromThenTo
|
||||
enumFromTo
|
||||
error
|
||||
exp
|
||||
exponent
|
||||
fail
|
||||
filter
|
||||
flip
|
||||
floatDigits
|
||||
floatRadix
|
||||
floatRange
|
||||
floor
|
||||
fmap
|
||||
fold
|
||||
fold1
|
||||
foldr
|
||||
foldr1
|
||||
fromEnum
|
||||
fromInteger
|
||||
fromIntegral
|
||||
fromRational
|
||||
fst
|
||||
gcd
|
||||
getChar
|
||||
getContents
|
||||
getLine
|
||||
head
|
||||
hiding
|
||||
id
|
||||
if
|
||||
import
|
||||
in
|
||||
infix
|
||||
infixl
|
||||
infixr
|
||||
init
|
||||
instance
|
||||
intract
|
||||
ioError
|
||||
isDenormalized
|
||||
isIEEE
|
||||
isInfinite
|
||||
isNan
|
||||
isNegativeZero
|
||||
iterate
|
||||
last
|
||||
lcm
|
||||
length
|
||||
let
|
||||
lex
|
||||
lines
|
||||
log
|
||||
logBase
|
||||
lookup
|
||||
map
|
||||
mapM
|
||||
mapM_
|
||||
max
|
||||
maxBound
|
||||
maximum
|
||||
maybe
|
||||
min
|
||||
minBound
|
||||
minimum
|
||||
mod
|
||||
module
|
||||
negate
|
||||
newtype
|
||||
not
|
||||
notElem
|
||||
null
|
||||
odd
|
||||
of
|
||||
or
|
||||
otherwise
|
||||
pi
|
||||
pred
|
||||
print
|
||||
product
|
||||
properFraction
|
||||
putChar
|
||||
putStr
|
||||
putStrLn
|
||||
qualified
|
||||
quot
|
||||
quotRem
|
||||
read
|
||||
readFile
|
||||
readIO
|
||||
readList
|
||||
readLn
|
||||
readParen
|
||||
reads
|
||||
readsPrec
|
||||
realtoFrac
|
||||
recip
|
||||
rem
|
||||
repeat
|
||||
replicate
|
||||
return
|
||||
reverse
|
||||
round
|
||||
scaleFloat
|
||||
scanl
|
||||
scanl1
|
||||
scanr
|
||||
scanr1
|
||||
seq
|
||||
sequence
|
||||
sequence_
|
||||
show
|
||||
showChar
|
||||
showList
|
||||
showParen
|
||||
showString
|
||||
shows
|
||||
showsPrec
|
||||
significand
|
||||
signum
|
||||
sin
|
||||
sinh
|
||||
snd
|
||||
span
|
||||
splitAt
|
||||
sqrt
|
||||
subtract
|
||||
succ
|
||||
sum
|
||||
tail
|
||||
take
|
||||
takeWhile
|
||||
tan
|
||||
tanh
|
||||
then
|
||||
toEnum
|
||||
toInteger
|
||||
toRational
|
||||
truncate
|
||||
type
|
||||
uncurry
|
||||
undefined
|
||||
unlines
|
||||
until
|
||||
unwords
|
||||
unzip
|
||||
unzip3
|
||||
userError
|
||||
where
|
||||
words
|
||||
writeFile
|
||||
zip
|
||||
zip3
|
||||
zipWith
|
||||
zipWith3
|
|
@ -0,0 +1,53 @@
|
|||
abstract
|
||||
assert
|
||||
boolean
|
||||
break
|
||||
byte
|
||||
case
|
||||
catch
|
||||
char
|
||||
class
|
||||
const
|
||||
continue
|
||||
default
|
||||
do
|
||||
double
|
||||
else
|
||||
enum
|
||||
extends
|
||||
final
|
||||
finally
|
||||
float
|
||||
for
|
||||
goto
|
||||
if
|
||||
implements
|
||||
import
|
||||
instanceof
|
||||
int
|
||||
interface
|
||||
long
|
||||
native
|
||||
new
|
||||
package
|
||||
private
|
||||
protected
|
||||
public
|
||||
return
|
||||
short
|
||||
static
|
||||
strictfp
|
||||
super
|
||||
switch
|
||||
synchronized
|
||||
this
|
||||
throw
|
||||
throws
|
||||
transient
|
||||
try
|
||||
void
|
||||
volatile
|
||||
while
|
||||
@Override
|
||||
@Deprecated
|
||||
@SuppressWarnings
|
|
@ -0,0 +1,148 @@
|
|||
Anchor
|
||||
Area
|
||||
Array
|
||||
Boolean
|
||||
Button
|
||||
Checkbox
|
||||
Date
|
||||
Document
|
||||
Element
|
||||
FileUpload
|
||||
Form
|
||||
Frame
|
||||
Function
|
||||
Hidden
|
||||
History
|
||||
Image
|
||||
Infinity
|
||||
JavaArray
|
||||
JavaClass
|
||||
JavaObject
|
||||
JavaPackage
|
||||
Link
|
||||
Location
|
||||
Math
|
||||
MimeType
|
||||
NaN
|
||||
Navigator
|
||||
Number
|
||||
Object
|
||||
Option
|
||||
Packages
|
||||
Password
|
||||
Plugin
|
||||
Radio
|
||||
RegExp
|
||||
Reset
|
||||
Select
|
||||
String
|
||||
Submit
|
||||
Text
|
||||
Textarea
|
||||
Window
|
||||
alert
|
||||
arguments
|
||||
assign
|
||||
blur
|
||||
break
|
||||
callee
|
||||
caller
|
||||
captureEvents
|
||||
case
|
||||
clearInterval
|
||||
clearTimeout
|
||||
close
|
||||
closed
|
||||
comment
|
||||
confirm
|
||||
constructor
|
||||
continue
|
||||
default
|
||||
defaultStatus
|
||||
delete
|
||||
do
|
||||
document
|
||||
else
|
||||
escape
|
||||
eval
|
||||
export
|
||||
find
|
||||
focus
|
||||
for
|
||||
frames
|
||||
function
|
||||
getClass
|
||||
history
|
||||
home
|
||||
if
|
||||
import
|
||||
in
|
||||
innerHeight
|
||||
innerWidth
|
||||
isFinite
|
||||
isNan
|
||||
java
|
||||
label
|
||||
length
|
||||
location
|
||||
locationbar
|
||||
menubar
|
||||
moveBy
|
||||
moveTo
|
||||
name
|
||||
navigate
|
||||
navigator
|
||||
netscape
|
||||
new
|
||||
onBlur
|
||||
onError
|
||||
onFocus
|
||||
onLoad
|
||||
onUnload
|
||||
open
|
||||
opener
|
||||
outerHeight
|
||||
outerWidth
|
||||
pageXoffset
|
||||
pageYoffset
|
||||
parent
|
||||
parseFloat
|
||||
parseInt
|
||||
personalbar
|
||||
print
|
||||
prompt
|
||||
prototype
|
||||
ref
|
||||
releaseEvents
|
||||
resizeBy
|
||||
resizeTo
|
||||
return
|
||||
routeEvent
|
||||
scroll
|
||||
scrollBy
|
||||
scrollTo
|
||||
scrollbars
|
||||
self
|
||||
setInterval
|
||||
setTimeout
|
||||
status
|
||||
statusbar
|
||||
stop
|
||||
sun
|
||||
switch
|
||||
taint
|
||||
this
|
||||
toString
|
||||
toolbar
|
||||
top
|
||||
typeof
|
||||
unescape
|
||||
untaint
|
||||
unwatch
|
||||
valueOf
|
||||
var
|
||||
void
|
||||
watch
|
||||
while
|
||||
window
|
||||
with
|
|
@ -0,0 +1,37 @@
|
|||
abstract
|
||||
break
|
||||
case
|
||||
catch
|
||||
const
|
||||
continue
|
||||
do
|
||||
else
|
||||
elseif
|
||||
end
|
||||
eval
|
||||
export
|
||||
false
|
||||
finally
|
||||
for
|
||||
function
|
||||
global
|
||||
if
|
||||
ifelse
|
||||
immutable
|
||||
import
|
||||
importall
|
||||
in
|
||||
let
|
||||
macro
|
||||
module
|
||||
otherwise
|
||||
quote
|
||||
return
|
||||
switch
|
||||
throw
|
||||
true
|
||||
try
|
||||
type
|
||||
typealias
|
||||
using
|
||||
while
|
|
@ -0,0 +1,21 @@
|
|||
and
|
||||
break
|
||||
do
|
||||
else
|
||||
elseif
|
||||
end
|
||||
false
|
||||
for
|
||||
function
|
||||
if
|
||||
in
|
||||
local
|
||||
nil
|
||||
not
|
||||
or
|
||||
repeat
|
||||
return
|
||||
then
|
||||
true
|
||||
until
|
||||
while
|
|
@ -0,0 +1,70 @@
|
|||
addr
|
||||
and
|
||||
as
|
||||
asm
|
||||
atomic
|
||||
bind
|
||||
block
|
||||
break
|
||||
case
|
||||
cast
|
||||
concept
|
||||
const
|
||||
continue
|
||||
converter
|
||||
defer
|
||||
discard
|
||||
distinct
|
||||
div
|
||||
do
|
||||
elif
|
||||
else
|
||||
end
|
||||
enum
|
||||
except
|
||||
export
|
||||
finally
|
||||
for
|
||||
from
|
||||
func
|
||||
generic
|
||||
if
|
||||
import
|
||||
in
|
||||
include
|
||||
interface
|
||||
is
|
||||
isnot
|
||||
iterator
|
||||
let
|
||||
macro
|
||||
method
|
||||
mixin
|
||||
mod
|
||||
nil
|
||||
not
|
||||
notin
|
||||
object
|
||||
of
|
||||
or
|
||||
out
|
||||
proc
|
||||
ptr
|
||||
raise
|
||||
ref
|
||||
return
|
||||
shl
|
||||
shr
|
||||
static
|
||||
template
|
||||
try
|
||||
tuple
|
||||
type
|
||||
using
|
||||
var
|
||||
when
|
||||
while
|
||||
with
|
||||
without
|
||||
xor
|
||||
yield
|
|
@ -0,0 +1,161 @@
|
|||
auto
|
||||
break
|
||||
case
|
||||
char
|
||||
const
|
||||
continue
|
||||
default
|
||||
do
|
||||
double
|
||||
else
|
||||
enum
|
||||
extern
|
||||
float
|
||||
for
|
||||
goto
|
||||
if
|
||||
inline
|
||||
int
|
||||
long
|
||||
register
|
||||
restrict
|
||||
return
|
||||
short
|
||||
signed
|
||||
sizeof
|
||||
static
|
||||
struct
|
||||
switch
|
||||
typedef
|
||||
union
|
||||
unsigned
|
||||
void
|
||||
volatile
|
||||
while
|
||||
_Alignas
|
||||
_Alignof
|
||||
_Atomic
|
||||
_Bool
|
||||
_Complex
|
||||
_Generic
|
||||
_Imaginary
|
||||
_Noreturn
|
||||
_Static_assert
|
||||
_Thread_local
|
||||
alignas
|
||||
alignof
|
||||
atomic_
|
||||
bool
|
||||
complex
|
||||
imaginary
|
||||
noreturn
|
||||
static_assert
|
||||
thread_local
|
||||
#if
|
||||
#elif
|
||||
#else
|
||||
#endif
|
||||
defined
|
||||
#ifdef
|
||||
#ifndef
|
||||
#define
|
||||
#undef
|
||||
#include
|
||||
#line
|
||||
#error
|
||||
#pragma
|
||||
_Pragma
|
||||
asm
|
||||
fortran
|
||||
#import
|
||||
self
|
||||
_cmd
|
||||
instancetype
|
||||
__bridge
|
||||
__bridge_transfer
|
||||
__bridge_retained
|
||||
__bridge_retain
|
||||
@not_keyword
|
||||
@class
|
||||
@compatibility_alias
|
||||
@defs
|
||||
@encode
|
||||
@end
|
||||
@implementation
|
||||
@interface
|
||||
@private
|
||||
@protected
|
||||
@protocol
|
||||
@public
|
||||
@selector
|
||||
@throw
|
||||
@try
|
||||
@catch
|
||||
@finally
|
||||
@synchronized
|
||||
@autoreleasepool
|
||||
@property
|
||||
@package
|
||||
@required
|
||||
@optional
|
||||
@synthesize
|
||||
@dynamic
|
||||
@import
|
||||
@available
|
||||
__attribute__((visibility("default")))
|
||||
__attribute__((visibility("hidden")))
|
||||
__attribute__((deprecated))
|
||||
__attribute__((unavailable))
|
||||
__attribute__((objc_exception))
|
||||
__attribute__((objc_root_class))
|
||||
__covariant
|
||||
__contravariant
|
||||
__kindof
|
||||
getter=
|
||||
setter=
|
||||
readonly
|
||||
readwrite
|
||||
assign
|
||||
retain
|
||||
copy
|
||||
nonatomic
|
||||
atomic
|
||||
strong
|
||||
weak
|
||||
unsafe_unretained
|
||||
nonnull
|
||||
nullable
|
||||
null_unspecified
|
||||
null_resettable
|
||||
class
|
||||
__attribute__((deprecated))
|
||||
in
|
||||
out
|
||||
inout
|
||||
oneway
|
||||
bycopy
|
||||
byref
|
||||
nonnull
|
||||
nullable
|
||||
null_unspecified
|
||||
__attribute__((unused))
|
||||
super
|
||||
true
|
||||
false
|
||||
__objc_yes
|
||||
__objc_no
|
||||
Class
|
||||
id
|
||||
SEL
|
||||
IMP
|
||||
BOOL
|
||||
STR
|
||||
NSInteger
|
||||
NSUInteger
|
||||
YES
|
||||
NO
|
||||
Nil
|
||||
nil
|
||||
__strong
|
||||
__unsafe_unretained
|
||||
__autoreleasing
|
|
@ -0,0 +1,46 @@
|
|||
# GNU Octave, and probably proprietary MATLAB
|
||||
# https://www.gnu.org/software/octave/doc/interpreter/Keywords.html
|
||||
|
||||
__FILE__
|
||||
__LINE__
|
||||
break
|
||||
case
|
||||
catch
|
||||
classdef
|
||||
continue
|
||||
do
|
||||
else
|
||||
elseif
|
||||
end
|
||||
end_try_catch
|
||||
end_unwind_protect
|
||||
endclassdef
|
||||
endenumeration
|
||||
endevents
|
||||
endfor
|
||||
endfunction
|
||||
endif
|
||||
endmethods
|
||||
endparfor
|
||||
endproperties
|
||||
endswitch
|
||||
endwhile
|
||||
enumeration
|
||||
events
|
||||
for
|
||||
function
|
||||
global
|
||||
if
|
||||
methods
|
||||
otherwise
|
||||
parfor
|
||||
persistent
|
||||
properties
|
||||
return
|
||||
static
|
||||
switch
|
||||
try
|
||||
unitl
|
||||
unwind_protect
|
||||
unwind_protect_cleanup
|
||||
while
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,379 @@
|
|||
ArithmeticError
|
||||
AssertionError
|
||||
AttributeError
|
||||
BaseException
|
||||
BufferError
|
||||
BytesWarning
|
||||
DeprecationWarning
|
||||
EOFError
|
||||
Ellipsis
|
||||
EnvironmentError
|
||||
Exception
|
||||
False
|
||||
FloatingPointError
|
||||
FutureWarning
|
||||
GeneratorExit
|
||||
IOError
|
||||
ImportError
|
||||
ImportWarning
|
||||
IndentationError
|
||||
IndexError
|
||||
KeyError
|
||||
KeyboardInterrupt
|
||||
LookupError
|
||||
MemoryError
|
||||
NameError
|
||||
None
|
||||
NotImplemented
|
||||
NotImplementedError
|
||||
OSError
|
||||
OverflowError
|
||||
PendingDeprecationWarning
|
||||
ReferenceError
|
||||
RuntimeError
|
||||
RuntimeWarning
|
||||
StandardError
|
||||
StopIteration
|
||||
SyntaxError
|
||||
SyntaxWarning
|
||||
SystemError
|
||||
SystemExit
|
||||
TabError
|
||||
True
|
||||
TypeError
|
||||
UnboundLocalError
|
||||
UnicodeDecodeError
|
||||
UnicodeEncodeError
|
||||
UnicodeError
|
||||
UnicodeTranslateError
|
||||
UnicodeWarning
|
||||
UserWarning
|
||||
ValueError
|
||||
Warning
|
||||
ZeroDivisionError
|
||||
__builtins__
|
||||
__debug__
|
||||
__doc__
|
||||
__file__
|
||||
__future__
|
||||
__import__
|
||||
__init__
|
||||
__main__
|
||||
__name__
|
||||
__package__
|
||||
_dummy_thread
|
||||
_thread
|
||||
abc
|
||||
abs
|
||||
aifc
|
||||
all
|
||||
and
|
||||
any
|
||||
apply
|
||||
argparse
|
||||
array
|
||||
as
|
||||
assert
|
||||
ast
|
||||
asynchat
|
||||
asyncio
|
||||
asyncore
|
||||
atexit
|
||||
audioop
|
||||
base64
|
||||
basestring
|
||||
bdb
|
||||
bin
|
||||
binascii
|
||||
binhex
|
||||
bisect
|
||||
bool
|
||||
break
|
||||
buffer
|
||||
builtins
|
||||
bytearray
|
||||
bytes
|
||||
bz2
|
||||
calendar
|
||||
callable
|
||||
cgi
|
||||
cgitb
|
||||
chr
|
||||
chuck
|
||||
class
|
||||
classmethod
|
||||
cmath
|
||||
cmd
|
||||
cmp
|
||||
code
|
||||
codecs
|
||||
codeop
|
||||
coerce
|
||||
collections
|
||||
colorsys
|
||||
compile
|
||||
compileall
|
||||
complex
|
||||
concurrent
|
||||
configparser
|
||||
contextlib
|
||||
continue
|
||||
copy
|
||||
copyreg
|
||||
copyright
|
||||
credits
|
||||
crypt
|
||||
csv
|
||||
ctypes
|
||||
curses
|
||||
datetime
|
||||
dbm
|
||||
decimal
|
||||
def
|
||||
del
|
||||
delattr
|
||||
dict
|
||||
difflib
|
||||
dir
|
||||
dis
|
||||
distutils
|
||||
divmod
|
||||
doctest
|
||||
dummy_threading
|
||||
elif
|
||||
else
|
||||
email
|
||||
enumerate
|
||||
ensurepip
|
||||
enum
|
||||
errno
|
||||
eval
|
||||
except
|
||||
exec
|
||||
execfile
|
||||
exit
|
||||
faulthandler
|
||||
fcntl
|
||||
file
|
||||
filecmp
|
||||
fileinput
|
||||
filter
|
||||
finally
|
||||
float
|
||||
fnmatch
|
||||
for
|
||||
format
|
||||
formatter
|
||||
fpectl
|
||||
fractions
|
||||
from
|
||||
frozenset
|
||||
ftplib
|
||||
functools
|
||||
gc
|
||||
getattr
|
||||
getopt
|
||||
getpass
|
||||
gettext
|
||||
glob
|
||||
global
|
||||
globals
|
||||
grp
|
||||
gzip
|
||||
hasattr
|
||||
hash
|
||||
hashlib
|
||||
heapq
|
||||
help
|
||||
hex
|
||||
hmac
|
||||
html
|
||||
http
|
||||
id
|
||||
if
|
||||
imghdr
|
||||
imp
|
||||
impalib
|
||||
import
|
||||
importlib
|
||||
in
|
||||
input
|
||||
inspect
|
||||
int
|
||||
intern
|
||||
io
|
||||
ipaddress
|
||||
is
|
||||
isinstance
|
||||
issubclass
|
||||
iter
|
||||
itertools
|
||||
json
|
||||
keyword
|
||||
lambda
|
||||
len
|
||||
license
|
||||
linecache
|
||||
list
|
||||
locale
|
||||
locals
|
||||
logging
|
||||
long
|
||||
lzma
|
||||
macpath
|
||||
mailbox
|
||||
mailcap
|
||||
map
|
||||
marshal
|
||||
math
|
||||
max
|
||||
memoryview
|
||||
mimetypes
|
||||
min
|
||||
mmap
|
||||
modulefinder
|
||||
msilib
|
||||
msvcrt
|
||||
multiprocessing
|
||||
netrc
|
||||
next
|
||||
nis
|
||||
nntplib
|
||||
not
|
||||
numbers
|
||||
object
|
||||
oct
|
||||
open
|
||||
operator
|
||||
optparse
|
||||
or
|
||||
ord
|
||||
os
|
||||
ossaudiodev
|
||||
parser
|
||||
pass
|
||||
pathlib
|
||||
pdb
|
||||
pickle
|
||||
pickletools
|
||||
pipes
|
||||
pkgutil
|
||||
platform
|
||||
plistlib
|
||||
poplib
|
||||
posix
|
||||
pow
|
||||
pprint
|
||||
print
|
||||
profile
|
||||
property
|
||||
pty
|
||||
pwd
|
||||
py_compiler
|
||||
pyclbr
|
||||
pydoc
|
||||
queue
|
||||
quit
|
||||
quopri
|
||||
raise
|
||||
random
|
||||
range
|
||||
raw_input
|
||||
re
|
||||
readline
|
||||
reduce
|
||||
reload
|
||||
repr
|
||||
reprlib
|
||||
resource
|
||||
return
|
||||
reversed
|
||||
rlcompleter
|
||||
round
|
||||
runpy
|
||||
sched
|
||||
select
|
||||
selectors
|
||||
self
|
||||
set
|
||||
setattr
|
||||
shelve
|
||||
shlex
|
||||
shutil
|
||||
signal
|
||||
site
|
||||
slice
|
||||
smtpd
|
||||
smtplib
|
||||
sndhdr
|
||||
socket
|
||||
socketserver
|
||||
sorted
|
||||
spwd
|
||||
sqlite3
|
||||
ssl
|
||||
stat
|
||||
staticmethod
|
||||
statistics
|
||||
str
|
||||
string
|
||||
stringprep
|
||||
struct
|
||||
subprocess
|
||||
sum
|
||||
sunau
|
||||
super
|
||||
symbol
|
||||
symtable
|
||||
sys
|
||||
sysconfig
|
||||
syslog
|
||||
tabnanny
|
||||
tarfile
|
||||
telnetlib
|
||||
tempfile
|
||||
termios
|
||||
test
|
||||
textwrap
|
||||
threading
|
||||
time
|
||||
timeit
|
||||
tkinter
|
||||
token
|
||||
tokenize
|
||||
trace
|
||||
traceback
|
||||
tracemalloc
|
||||
try
|
||||
tty
|
||||
tuple
|
||||
turtle
|
||||
type
|
||||
types
|
||||
unichr
|
||||
unicode
|
||||
unicodedata
|
||||
unittest
|
||||
urllib
|
||||
uu
|
||||
uuid
|
||||
vars
|
||||
venv
|
||||
warnings
|
||||
wave
|
||||
weakref
|
||||
webbrowser
|
||||
while
|
||||
winsound
|
||||
winreg
|
||||
with
|
||||
wsgiref
|
||||
xdrlib
|
||||
xml
|
||||
xmlrpc
|
||||
xrange
|
||||
yield
|
||||
zip
|
||||
zipfile
|
||||
zipimport
|
||||
zlib
|
|
@ -0,0 +1,183 @@
|
|||
AlignBottom
|
||||
AlignCenter
|
||||
AlignHCenter
|
||||
AlignLeft
|
||||
AlignRight
|
||||
AlignTop
|
||||
AlignVCenter
|
||||
AnchorAnimation
|
||||
AnchorChanges
|
||||
Audio
|
||||
Behavior
|
||||
Binding
|
||||
BorderImage
|
||||
ColorAnimation
|
||||
Column
|
||||
Component
|
||||
Connections
|
||||
Easing
|
||||
Flickable
|
||||
Flipable
|
||||
Flow
|
||||
FocusScope
|
||||
GestureArea
|
||||
Grid
|
||||
GridView
|
||||
Horizontal
|
||||
Image
|
||||
InBack
|
||||
InBounce
|
||||
InCirc
|
||||
InCubic
|
||||
InElastic
|
||||
InExpo
|
||||
InOutBack
|
||||
InOutBounce
|
||||
InOutCirc
|
||||
InOutCubic
|
||||
InOutElastic
|
||||
InOutExpo
|
||||
InOutQuad
|
||||
InOutQuart
|
||||
InOutQuint
|
||||
InQuad
|
||||
InQuart
|
||||
InQuint
|
||||
InQuint
|
||||
InSine
|
||||
Item
|
||||
LayoutItem
|
||||
LeftButton
|
||||
Linear
|
||||
ListElement
|
||||
ListModel
|
||||
ListView
|
||||
Loader
|
||||
MidButton
|
||||
MiddleButton
|
||||
MouseArea
|
||||
NoButton
|
||||
NumberAnimation
|
||||
OutBack
|
||||
OutBounce
|
||||
OutCirc
|
||||
OutCubic
|
||||
OutElastic
|
||||
OutExpo
|
||||
OutInBack
|
||||
OutInBounce
|
||||
OutInCirc
|
||||
OutInCubic
|
||||
OutInElastic
|
||||
OutInExpo
|
||||
OutInQuad
|
||||
OutInQuart
|
||||
OutInQuint
|
||||
OutQuad
|
||||
OutQuart
|
||||
OutQuint
|
||||
OutSine
|
||||
Package
|
||||
ParallelAnimation
|
||||
ParentAnimation
|
||||
ParentChange
|
||||
ParticleMotionGravity
|
||||
ParticleMotionLinear
|
||||
ParticleMotionWander
|
||||
Particles
|
||||
Path
|
||||
PathAttribute
|
||||
PathCubic
|
||||
PathLine
|
||||
PathPercent
|
||||
PathQuad
|
||||
PathView
|
||||
PauseAnimation
|
||||
PropertyAction
|
||||
PropertyAnimation
|
||||
PropertyChanges
|
||||
Qt
|
||||
QtObject
|
||||
Rectangle
|
||||
Repeater
|
||||
RightButton
|
||||
Rotation
|
||||
RotationAnimation
|
||||
Row
|
||||
Scale
|
||||
ScriptAction
|
||||
SequentialAnimation
|
||||
SmoothedAnimation
|
||||
SoundEffect
|
||||
SpringFollow
|
||||
State
|
||||
StateChangeScript
|
||||
StateGroup
|
||||
SystemPalette
|
||||
Text
|
||||
TextEdit
|
||||
TextInput
|
||||
Timer
|
||||
Transition
|
||||
Translate
|
||||
Vertical
|
||||
Video
|
||||
ViewsPositionersMediaEffects
|
||||
VisualDataModel
|
||||
VisualItemModel
|
||||
WebView
|
||||
WorkerScript
|
||||
XmlListModel
|
||||
XmlRole
|
||||
alias
|
||||
as
|
||||
bool
|
||||
break
|
||||
case
|
||||
catch
|
||||
color
|
||||
const
|
||||
continue
|
||||
date
|
||||
debugger
|
||||
default
|
||||
delete
|
||||
do
|
||||
double
|
||||
else
|
||||
enum
|
||||
false
|
||||
false
|
||||
finally
|
||||
for
|
||||
function
|
||||
if
|
||||
import
|
||||
import
|
||||
in
|
||||
instanceof
|
||||
int
|
||||
let
|
||||
new
|
||||
null
|
||||
on
|
||||
parent
|
||||
property
|
||||
real
|
||||
return
|
||||
signal
|
||||
string
|
||||
switch
|
||||
this
|
||||
throw
|
||||
true
|
||||
try
|
||||
typeof
|
||||
undefined
|
||||
url
|
||||
var
|
||||
variant
|
||||
void
|
||||
while
|
||||
with
|
||||
yield
|
|
@ -0,0 +1,181 @@
|
|||
$!
|
||||
$"
|
||||
$$
|
||||
$&
|
||||
$'
|
||||
$*
|
||||
$+
|
||||
$,
|
||||
$-0
|
||||
$-F
|
||||
$-I
|
||||
$-K
|
||||
$-a
|
||||
$-d
|
||||
$-i
|
||||
$-l
|
||||
$-p
|
||||
$-v
|
||||
$-w
|
||||
$.
|
||||
$/
|
||||
$0
|
||||
$1
|
||||
$10
|
||||
$11
|
||||
$2
|
||||
$3
|
||||
$4
|
||||
$5
|
||||
$6
|
||||
$7
|
||||
$8
|
||||
$9
|
||||
$:
|
||||
$;
|
||||
$<
|
||||
$=
|
||||
$>
|
||||
$?
|
||||
$@
|
||||
$DEBUG
|
||||
$FILENAME
|
||||
$KCODE
|
||||
$LOADED_FEATURES
|
||||
$LOAD_PATH
|
||||
$PROGRAM_NAME
|
||||
$SAFE
|
||||
$VERBOSE
|
||||
$\
|
||||
$_
|
||||
$`
|
||||
$deferr
|
||||
$defout
|
||||
$stderr
|
||||
$stdin
|
||||
$stdout
|
||||
$~
|
||||
ARGF
|
||||
ARGV
|
||||
Array
|
||||
BEGIN
|
||||
DATA
|
||||
END
|
||||
ENV
|
||||
FALSE
|
||||
Float
|
||||
Integer
|
||||
NIL
|
||||
PLATFORM
|
||||
RELEASE_DATE
|
||||
RUBY_COPYRIGHT
|
||||
RUBY_DESCRIPTION
|
||||
RUBY_PATCHLEVEL
|
||||
RUBY_PLATFORM
|
||||
RUBY_RELEASE_DATE
|
||||
RUBY_VERSION
|
||||
SCRIPT_LINES__
|
||||
STDERR
|
||||
STDIN
|
||||
STDOUT
|
||||
String
|
||||
TOPLEVEL_BINDING
|
||||
TRUE
|
||||
VERSION
|
||||
__method__
|
||||
`
|
||||
abort
|
||||
alias
|
||||
and
|
||||
at_exit
|
||||
autoload
|
||||
autoload?
|
||||
begin
|
||||
binding
|
||||
block_given
|
||||
break
|
||||
callcc
|
||||
caller
|
||||
case
|
||||
catch
|
||||
chomp
|
||||
chomp!
|
||||
chop
|
||||
chop
|
||||
class
|
||||
def
|
||||
defined?
|
||||
do
|
||||
else
|
||||
elsif
|
||||
end
|
||||
ensure
|
||||
eval
|
||||
exec
|
||||
exit
|
||||
exit!
|
||||
fail
|
||||
false
|
||||
for
|
||||
fork
|
||||
format
|
||||
getc
|
||||
gets
|
||||
global_variables
|
||||
gsub
|
||||
gsub!
|
||||
if
|
||||
in
|
||||
iterator?
|
||||
lambda
|
||||
load
|
||||
local_variables
|
||||
loop
|
||||
module
|
||||
next
|
||||
nil
|
||||
not
|
||||
open
|
||||
or
|
||||
p
|
||||
printf
|
||||
proc
|
||||
putc
|
||||
puts
|
||||
raise
|
||||
rand
|
||||
readline
|
||||
readlines
|
||||
redo
|
||||
require
|
||||
require_relative
|
||||
rescue
|
||||
retry
|
||||
return
|
||||
scan
|
||||
select
|
||||
self
|
||||
set_trace_func
|
||||
sleep
|
||||
split
|
||||
sprintf
|
||||
srand
|
||||
sub
|
||||
sub!
|
||||
super
|
||||
syscall
|
||||
system
|
||||
test
|
||||
then
|
||||
throw
|
||||
trace_var
|
||||
trap
|
||||
true
|
||||
undef
|
||||
unless
|
||||
until
|
||||
untrace_var
|
||||
warn
|
||||
when
|
||||
while
|
||||
yield
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,216 @@
|
|||
case-lambda
|
||||
call/cc
|
||||
class
|
||||
define-class
|
||||
exit-handler
|
||||
field
|
||||
import
|
||||
inherit
|
||||
init-field
|
||||
interface
|
||||
let*-values
|
||||
let-values
|
||||
let/ec
|
||||
mixin
|
||||
opt-lambda
|
||||
override
|
||||
protect
|
||||
provide
|
||||
public
|
||||
rename
|
||||
require
|
||||
require-for-syntax
|
||||
syntax
|
||||
syntax-case
|
||||
syntax-error
|
||||
unit/sig
|
||||
unless
|
||||
when
|
||||
with-syntax
|
||||
and
|
||||
begin
|
||||
call-with-current-continuation
|
||||
call-with-input-file
|
||||
call-with-output-file
|
||||
case
|
||||
cond
|
||||
define
|
||||
define-syntax
|
||||
delay
|
||||
do
|
||||
dynamic-wind
|
||||
else
|
||||
for-each
|
||||
if
|
||||
lambda
|
||||
let
|
||||
let*
|
||||
let-syntax
|
||||
letrec
|
||||
letrec-syntax
|
||||
map
|
||||
or
|
||||
syntax-rules
|
||||
abs
|
||||
acos
|
||||
angle
|
||||
append
|
||||
apply
|
||||
asin
|
||||
assoc
|
||||
assq
|
||||
assv
|
||||
atan
|
||||
boolean?
|
||||
caar
|
||||
cadr
|
||||
call-with-input-file
|
||||
call-with-output-file
|
||||
call-with-values
|
||||
car
|
||||
cdddar
|
||||
cddddr
|
||||
cdr
|
||||
ceiling
|
||||
char->integer
|
||||
char-alphabetic?
|
||||
char-ci<=?
|
||||
char-ci<?
|
||||
char-ci=?
|
||||
char-ci>=?
|
||||
char-ci>?
|
||||
char-downcase
|
||||
char-lower-case?
|
||||
char-numeric?
|
||||
char-ready?
|
||||
char-upcase
|
||||
char-upper-case?
|
||||
char-whitespace?
|
||||
char<=?
|
||||
char<?
|
||||
char=?
|
||||
char>=?
|
||||
char>?
|
||||
char?
|
||||
close-input-port
|
||||
close-output-port
|
||||
complex?
|
||||
cons
|
||||
cos
|
||||
current-input-port
|
||||
current-output-port
|
||||
denominator
|
||||
display
|
||||
eof-object?
|
||||
eq?
|
||||
equal?
|
||||
eqv?
|
||||
eval
|
||||
even?
|
||||
exact->inexact
|
||||
exact?
|
||||
exp
|
||||
expt
|
||||
#f
|
||||
floor
|
||||
force
|
||||
gcd
|
||||
imag-part
|
||||
inexact->exact
|
||||
inexact?
|
||||
input-port?
|
||||
integer->char
|
||||
integer?
|
||||
interaction-environment
|
||||
lcm
|
||||
length
|
||||
list
|
||||
list->string
|
||||
list->vector
|
||||
list-ref
|
||||
list-tail
|
||||
list?
|
||||
load
|
||||
log
|
||||
magnitude
|
||||
make-polar
|
||||
make-rectangular
|
||||
make-string
|
||||
make-vector
|
||||
max
|
||||
member
|
||||
memq
|
||||
memv
|
||||
min
|
||||
modulo
|
||||
negative?
|
||||
newline
|
||||
not
|
||||
null-environment
|
||||
null?
|
||||
number->string
|
||||
number?
|
||||
numerator
|
||||
odd?
|
||||
open-input-file
|
||||
open-output-file
|
||||
output-port?
|
||||
pair?
|
||||
peek-char
|
||||
port?
|
||||
positive?
|
||||
procedure?
|
||||
quasiquote
|
||||
quote
|
||||
quotient
|
||||
rational?
|
||||
rationalize
|
||||
read
|
||||
read-char
|
||||
real-part
|
||||
real?
|
||||
remainder
|
||||
reverse
|
||||
round
|
||||
scheme-report-environment
|
||||
set!
|
||||
set-car!
|
||||
set-cdr!
|
||||
sin
|
||||
sqrt
|
||||
string
|
||||
string->list
|
||||
string->number
|
||||
string->symbol
|
||||
string-append
|
||||
string-ci<=?
|
||||
string-ci<?
|
||||
string-ci=?
|
||||
string-ci>=?
|
||||
string-ci>?
|
||||
string-copy
|
||||
string-fill!
|
||||
string-length
|
||||
string-ref
|
||||
string-set!
|
||||
string<=?
|
||||
string<?
|
||||
string=?
|
||||
string>=?
|
||||
string>?
|
||||
string?
|
||||
substring
|
||||
symbol->string
|
||||
symbol?
|
||||
#t
|
||||
tan
|
||||
transcript-off
|
||||
transcript-on
|
||||
truncate
|
||||
values
|
||||
vector
|
||||
vector->list
|
||||
vector-fill!
|
||||
vector-length
|
||||
vector-ref
|
||||
vector-set!
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,182 @@
|
|||
# Bash Family Shell Dictionary
|
||||
# http://www.gnu.org/software/bash/manual/bash.html
|
||||
|
||||
.
|
||||
:
|
||||
[
|
||||
alias
|
||||
bg
|
||||
bind
|
||||
break
|
||||
builtin
|
||||
caller
|
||||
cd
|
||||
command
|
||||
compgen
|
||||
complete
|
||||
compopt
|
||||
continue
|
||||
declare
|
||||
dirs
|
||||
disown
|
||||
echo
|
||||
enable
|
||||
eval
|
||||
exec
|
||||
exit
|
||||
export
|
||||
fc
|
||||
fg
|
||||
getopts
|
||||
hash
|
||||
help
|
||||
history
|
||||
jobs
|
||||
kill
|
||||
let
|
||||
local
|
||||
logout
|
||||
mapfile
|
||||
popd
|
||||
printf
|
||||
pushd
|
||||
pwd
|
||||
read
|
||||
readarray
|
||||
readonly
|
||||
return
|
||||
set
|
||||
shift
|
||||
shopt
|
||||
source
|
||||
suspend
|
||||
test
|
||||
times
|
||||
trap
|
||||
type
|
||||
typeset
|
||||
ulimit
|
||||
umask
|
||||
unalias
|
||||
unset
|
||||
wait
|
||||
!
|
||||
[[
|
||||
]]
|
||||
case
|
||||
do
|
||||
done
|
||||
elif
|
||||
else
|
||||
esac
|
||||
fi
|
||||
for
|
||||
function
|
||||
if
|
||||
in
|
||||
select
|
||||
then
|
||||
time
|
||||
until
|
||||
while
|
||||
{
|
||||
}
|
||||
!
|
||||
#
|
||||
$
|
||||
*
|
||||
-
|
||||
0
|
||||
?
|
||||
@
|
||||
_
|
||||
BASH
|
||||
BASH_ALIASES
|
||||
BASH_ARGC
|
||||
BASH_ARGV
|
||||
BASH_CMDS
|
||||
BASH_COMMAND
|
||||
BASH_ENV
|
||||
BASH_EXECUTION_STRING
|
||||
BASH_LINENO
|
||||
BASH_REMATCH
|
||||
BASH_SOURCE
|
||||
BASH_SUBSHELL
|
||||
BASH_VERSINFO
|
||||
BASH_VERSION
|
||||
BASH_XTRACEFD
|
||||
BASHOPTS
|
||||
BASHPID
|
||||
CDPATH
|
||||
COLUMNS
|
||||
COMP_CWORD
|
||||
COMP_KEY
|
||||
COMP_LINE
|
||||
COMP_POINT
|
||||
COMP_TYPE
|
||||
COMP_WORDBREAKS
|
||||
COMP_WORDS
|
||||
COMPREPLY
|
||||
DIRSTACK
|
||||
EMACS
|
||||
EUID
|
||||
FCEDIT
|
||||
FIGNORE
|
||||
FUNCNAME
|
||||
GLOBIGNORE
|
||||
GROUPS
|
||||
HISTCMD
|
||||
HISTCONTROL
|
||||
HISTFILE
|
||||
HISTFILESIZE
|
||||
HISTIGNORE
|
||||
HISTSIZE
|
||||
HISTTIMEFORMAT
|
||||
HOME
|
||||
HOSTFILE
|
||||
HOSTNAME
|
||||
HOSTTYPE
|
||||
IFS
|
||||
IGNOREEOF
|
||||
INPUTRC
|
||||
LANG
|
||||
LC_ALL
|
||||
LC_COLLATE
|
||||
LC_CTYPE
|
||||
LC_MESSAGES
|
||||
LC_MESSAGES
|
||||
LC_NUMERIC
|
||||
LINENO
|
||||
LINES
|
||||
MACHTYPE
|
||||
MAIL
|
||||
MAILCHECK
|
||||
MAILPATH
|
||||
OLDPWD
|
||||
OPTARG
|
||||
OPTERR
|
||||
OPTIND
|
||||
OSTYPE
|
||||
PATH
|
||||
PIPESTATUS
|
||||
POSIXLY_CORRECT
|
||||
PPID
|
||||
PROMPT_COMMAND
|
||||
PROMPT_DIRTRIM
|
||||
PS1
|
||||
PS2
|
||||
PS3
|
||||
PS4
|
||||
PWD
|
||||
RANDOM
|
||||
REPLY
|
||||
SECONDS
|
||||
SHELL
|
||||
SHELLOPTS
|
||||
SHLVL
|
||||
TEXTDOMAIN
|
||||
TEXTDOMAINDIR
|
||||
TIMEFORMAT
|
||||
TMOUT
|
||||
TMPDIR
|
||||
UID
|
|
@ -0,0 +1,87 @@
|
|||
associatedtype
|
||||
class
|
||||
deinit
|
||||
enum
|
||||
extension
|
||||
func
|
||||
import
|
||||
init
|
||||
inout
|
||||
let
|
||||
operator
|
||||
precedencegroup
|
||||
protocol
|
||||
struct
|
||||
subscript
|
||||
typealias
|
||||
var
|
||||
fileprivate
|
||||
internal
|
||||
private
|
||||
public
|
||||
static
|
||||
undef
|
||||
sil
|
||||
sil_stage
|
||||
sil_vtable
|
||||
sil_global
|
||||
sil_witness_table
|
||||
sil_default_witness_table
|
||||
sil_coverage_map
|
||||
sil_scope
|
||||
defer
|
||||
if
|
||||
guard
|
||||
do
|
||||
repeat
|
||||
else
|
||||
for
|
||||
in
|
||||
while
|
||||
return
|
||||
break
|
||||
continue
|
||||
fallthrough
|
||||
switch
|
||||
case
|
||||
default
|
||||
where
|
||||
catch
|
||||
as
|
||||
Any
|
||||
false
|
||||
is
|
||||
nil
|
||||
rethrows
|
||||
super
|
||||
self
|
||||
Self
|
||||
throw
|
||||
true
|
||||
try
|
||||
throws
|
||||
__FILE__
|
||||
__LINE__
|
||||
__COLUMN__
|
||||
__FUNCTION__
|
||||
__DSO_HANDLE__
|
||||
_
|
||||
#if
|
||||
#else
|
||||
#elseif
|
||||
#endif
|
||||
#keyPath
|
||||
#line
|
||||
#sourceLocation
|
||||
#selector
|
||||
#available
|
||||
#fileLiteral
|
||||
#imageLiteral
|
||||
#colorLiteral
|
||||
#FileReference
|
||||
#Image
|
||||
#Color
|
||||
#file
|
||||
#column
|
||||
#function
|
||||
#dsohandle
|
|
@ -0,0 +1,172 @@
|
|||
after
|
||||
append
|
||||
apply
|
||||
array
|
||||
auto_execok
|
||||
auto_import
|
||||
auto_load
|
||||
auto_load_index
|
||||
auto_mkindex
|
||||
auto_mkindex_old
|
||||
auto_qualify
|
||||
auto_reset
|
||||
bell
|
||||
binary
|
||||
bind
|
||||
bindtags
|
||||
break
|
||||
button
|
||||
canvas
|
||||
case
|
||||
catch
|
||||
cd
|
||||
chan
|
||||
checkbutton
|
||||
clipboard
|
||||
clock
|
||||
close
|
||||
concat
|
||||
continue
|
||||
destroy
|
||||
dict
|
||||
encoding
|
||||
entry
|
||||
eof
|
||||
error
|
||||
eval
|
||||
event
|
||||
exec
|
||||
exit
|
||||
expr
|
||||
fblocked
|
||||
fconfigure
|
||||
fcopy
|
||||
file
|
||||
fileevent
|
||||
flush
|
||||
focus
|
||||
font
|
||||
for
|
||||
foreach
|
||||
format
|
||||
frame
|
||||
gets
|
||||
glob
|
||||
global
|
||||
grab
|
||||
grid
|
||||
if
|
||||
image
|
||||
incr
|
||||
info
|
||||
interp
|
||||
join
|
||||
label
|
||||
labelframe
|
||||
lappend
|
||||
lassign
|
||||
lindex
|
||||
linsert
|
||||
list
|
||||
listbox
|
||||
llength
|
||||
load
|
||||
lower
|
||||
lrange
|
||||
lrepeat
|
||||
lreplace
|
||||
lreverse
|
||||
lsearch
|
||||
lset
|
||||
lsort
|
||||
menu
|
||||
menubutton
|
||||
message
|
||||
namespace
|
||||
open
|
||||
option
|
||||
pack
|
||||
package
|
||||
panedwindow
|
||||
pid
|
||||
pkg_mkIndex
|
||||
place
|
||||
proc
|
||||
puts
|
||||
pwd
|
||||
radiobutton
|
||||
raise
|
||||
read
|
||||
regexp
|
||||
registry
|
||||
regsub
|
||||
rename
|
||||
return
|
||||
scale
|
||||
scan
|
||||
scrollbar
|
||||
seek
|
||||
selection
|
||||
set
|
||||
socket
|
||||
source
|
||||
spinbox
|
||||
split
|
||||
string
|
||||
subst
|
||||
switch
|
||||
tclLog
|
||||
tclPkgSetup
|
||||
tclPkgUnknown
|
||||
tcl_findLibrary
|
||||
tell
|
||||
text
|
||||
time
|
||||
tk
|
||||
tk_chooseColor
|
||||
tk_chooseDirectory
|
||||
tk_getOpenFile
|
||||
tk_getSaveFile
|
||||
tk_menuSetFocus
|
||||
tk_messageBox
|
||||
tk_popup
|
||||
tk_textCopy
|
||||
tk_textCut
|
||||
tk_textPaste
|
||||
tkwait
|
||||
toplevel
|
||||
ttk::button
|
||||
ttk::checkbutton
|
||||
ttk::combobox
|
||||
ttk::entry
|
||||
ttk::focusFirst
|
||||
ttk::frame
|
||||
ttk::label
|
||||
ttk::labelframe
|
||||
ttk::menubutton
|
||||
ttk::notebook
|
||||
ttk::paned
|
||||
ttk::panedwindow
|
||||
ttk::progressbar
|
||||
ttk::radiobutton
|
||||
ttk::scale
|
||||
ttk::scrollbar
|
||||
ttk::separator
|
||||
ttk::setTheme
|
||||
ttk::sizegrip
|
||||
ttk::style
|
||||
ttk::takefocus
|
||||
ttk::themes
|
||||
ttk::treeview
|
||||
trace
|
||||
unknown
|
||||
unload
|
||||
unset
|
||||
update
|
||||
uplevel
|
||||
upvar
|
||||
variable
|
||||
vwait
|
||||
while
|
||||
winfo
|
||||
wm
|
|
@ -0,0 +1,797 @@
|
|||
absRefPrefix
|
||||
accessibility
|
||||
accessibilityWrap
|
||||
accessKey
|
||||
ACT
|
||||
ACTIFSUB
|
||||
ACTIVSUBRO
|
||||
ACTRO
|
||||
addAttributes
|
||||
addExtUrlsAndShortCuts
|
||||
additionalHeaders
|
||||
additionalParams
|
||||
addParams
|
||||
addQueryString
|
||||
addQueryString
|
||||
adjustItemsH
|
||||
adjustSubItemsH
|
||||
adminPanelStyles
|
||||
after
|
||||
age
|
||||
align
|
||||
align.field
|
||||
all
|
||||
allowedAttribs
|
||||
allowedGroups
|
||||
allowEdit
|
||||
allowNew
|
||||
allowTags
|
||||
allStdWrap
|
||||
allWrap
|
||||
alternativeSortingField
|
||||
alternativeTempPath
|
||||
altImgResource
|
||||
altTarget
|
||||
altText
|
||||
alwaysActivePIDlist
|
||||
alwaysLink
|
||||
andWhere
|
||||
angle
|
||||
antiAlias
|
||||
append
|
||||
applyTotalH
|
||||
applyTotalW
|
||||
arrayReturnMode
|
||||
arrowACT
|
||||
arrowImgParams
|
||||
arrowNO
|
||||
ATagBeforeWrap
|
||||
ATagParams
|
||||
ATagTitle
|
||||
atLeast
|
||||
atMost
|
||||
authcodeFields
|
||||
autoInsertPID
|
||||
autostart
|
||||
backColor
|
||||
badMess
|
||||
base64
|
||||
baseURL
|
||||
beforeImg
|
||||
beforeImgLink
|
||||
beforeImgTagParams
|
||||
beforeROImg
|
||||
beforeWrap
|
||||
begin
|
||||
begin
|
||||
beginAtLevel
|
||||
beLoginLinkIPList
|
||||
beLoginLinkIPList_login
|
||||
beLoginLinkIPList_logout
|
||||
beUserLogin
|
||||
bgImg
|
||||
blankStrEqFalse
|
||||
blur
|
||||
bm
|
||||
bodyTag
|
||||
bodyTag
|
||||
bodyTagAdd
|
||||
bodyTagCObject
|
||||
bodyTagMargins
|
||||
border
|
||||
border
|
||||
borderCol
|
||||
bordersWithin
|
||||
borderThick
|
||||
bottomContent
|
||||
bottomHeight
|
||||
br
|
||||
breakSpace
|
||||
breakWidth
|
||||
brTag
|
||||
bytes
|
||||
c
|
||||
cache_clearAtMidnight
|
||||
cached
|
||||
cache_period
|
||||
caption
|
||||
captionAlign
|
||||
captionSplit
|
||||
case
|
||||
case
|
||||
CASE
|
||||
casesensitiveComp
|
||||
cellpadding
|
||||
cellspacing
|
||||
char
|
||||
charcoal
|
||||
clearCacheOfPages
|
||||
cMargins
|
||||
COA
|
||||
COA_INT
|
||||
cObject
|
||||
cObjNum
|
||||
code
|
||||
collapse
|
||||
color
|
||||
color1
|
||||
color2
|
||||
color3
|
||||
color.default
|
||||
color.field
|
||||
colRelations
|
||||
cols
|
||||
cols
|
||||
colSpace
|
||||
COLUMNS
|
||||
COMMENT
|
||||
commentWrap
|
||||
compensateFieldWidth
|
||||
compX
|
||||
compY
|
||||
concatenateJsAndCss
|
||||
conf
|
||||
config
|
||||
config
|
||||
CONFIG
|
||||
constants
|
||||
CONTENT
|
||||
content_fallback
|
||||
content_from_pid_allowOutsideDomain
|
||||
controllerActionName
|
||||
controllerExtensionName
|
||||
controllerName
|
||||
crop
|
||||
cropHTML
|
||||
csConv
|
||||
cssInline
|
||||
CSS_inlineStyle
|
||||
CTABLE
|
||||
CUR
|
||||
CURIFSUB
|
||||
CURIFSUBRO
|
||||
current
|
||||
CURRO
|
||||
cWidth
|
||||
data
|
||||
dataArray
|
||||
dataWrap
|
||||
date
|
||||
debug
|
||||
debugData
|
||||
debugFunc
|
||||
debugItemConf
|
||||
debugRenumberedObject
|
||||
decimals
|
||||
dec_point
|
||||
default
|
||||
defaultAlign
|
||||
defaultCmd
|
||||
defaultCode
|
||||
defaultGetVars
|
||||
delete
|
||||
denyTags
|
||||
depth
|
||||
dimensions
|
||||
directImageLink
|
||||
directionLeft
|
||||
directionUp
|
||||
directReturn
|
||||
disableAllHeaderCode
|
||||
disableAltText
|
||||
disableCharsetHeader
|
||||
disableImgBorderAttr
|
||||
disablePageExternalUrl
|
||||
disablePrefixComment
|
||||
disablePreviewNotification
|
||||
displayActiveOnLoad
|
||||
displayActiveOnLoad
|
||||
displayrecord
|
||||
distributeX
|
||||
distributeY
|
||||
doctype
|
||||
doctypeSwitch
|
||||
doNotLinkIt
|
||||
doNotShowLink
|
||||
doNotStripHTML
|
||||
dontCheckPid
|
||||
dontFollowMouse
|
||||
dontHideOnMouseUp
|
||||
dontLinkIfSubmenu
|
||||
dontMd5FieldNames
|
||||
dontWrapInTable
|
||||
doubleBrTag
|
||||
doublePostCheck
|
||||
dWorkArea
|
||||
edge
|
||||
edit
|
||||
editIcons
|
||||
editIcons
|
||||
editPanel
|
||||
EDITPANEL
|
||||
EDITPANEL
|
||||
effects
|
||||
email
|
||||
emailMess
|
||||
emboss
|
||||
emptyTitleHandling
|
||||
emptyTitleHandling
|
||||
emptyTitleHandling
|
||||
enable
|
||||
enableContentLengthHeader
|
||||
encapsLines
|
||||
encapsLinesStdWrap
|
||||
encapsTagList
|
||||
entryLevel
|
||||
equalH
|
||||
equals
|
||||
evalErrors
|
||||
evalFunc
|
||||
excludeDoktypes
|
||||
excludeNoSearchPages
|
||||
excludeUidList
|
||||
expAll
|
||||
explode
|
||||
ext
|
||||
extbase
|
||||
externalBlocks
|
||||
extOnReady
|
||||
extTarget
|
||||
face.default
|
||||
face.field
|
||||
FEData
|
||||
fe_userEditSelf
|
||||
fe_userOwnSelf
|
||||
field
|
||||
fieldPrefix
|
||||
fieldRequired
|
||||
fieldWrap
|
||||
file
|
||||
FILE
|
||||
filelink
|
||||
fileList
|
||||
fileTarget
|
||||
firstLabel
|
||||
firstLabelGeneral
|
||||
flip
|
||||
flop
|
||||
foldSpeed
|
||||
foldTimer
|
||||
fontFile
|
||||
fontSize
|
||||
fontSizeMultiplicator
|
||||
fontTag
|
||||
footerData
|
||||
forceAbsoluteUrl
|
||||
forceTypeValue
|
||||
FORM
|
||||
format
|
||||
formName
|
||||
formurl
|
||||
frame
|
||||
frameReloadIfNotInFrameset
|
||||
frameSet
|
||||
freezeMouseover
|
||||
ftu
|
||||
gamma
|
||||
gapBgCol
|
||||
gapLineCol
|
||||
gapLineThickness
|
||||
gapWidth
|
||||
gif
|
||||
GIFBUILDER
|
||||
globalNesting
|
||||
GMENU
|
||||
goodMess
|
||||
gray
|
||||
gr_list
|
||||
groupBy
|
||||
headerComment
|
||||
headerData
|
||||
headTag
|
||||
height
|
||||
hiddenFields
|
||||
hide
|
||||
hideButCreateMap
|
||||
hideMenuTimer
|
||||
hideMenuWhenNotOver
|
||||
hideNonTranslated
|
||||
highColor
|
||||
HMENU
|
||||
hover
|
||||
hoverStyle
|
||||
HRULER
|
||||
HTML
|
||||
html5
|
||||
htmlmail
|
||||
HTMLparser
|
||||
htmlSpecialChars
|
||||
htmlTag_dir
|
||||
htmlTag_langKey
|
||||
htmlTag_setParams
|
||||
http
|
||||
icon
|
||||
iconCObject
|
||||
icon_image_ext_list
|
||||
icon_link
|
||||
icon_thumbSize
|
||||
if
|
||||
ifBlank
|
||||
ifEmpty
|
||||
IFSUB
|
||||
IFSUBRO
|
||||
ignore
|
||||
IMAGE
|
||||
image_compression
|
||||
image_effects
|
||||
image_frames
|
||||
imgList
|
||||
imgMap
|
||||
imgMapExtras
|
||||
imgMax
|
||||
imgNameNotRandom
|
||||
imgNamePrefix
|
||||
imgObjNum
|
||||
imgParams
|
||||
imgPath
|
||||
imgStart
|
||||
IMGTEXT
|
||||
import
|
||||
inBranch
|
||||
includeCSS
|
||||
includeJS
|
||||
includeJSFooter
|
||||
includeJSFooterlibs
|
||||
includeJSlibs
|
||||
includeLibrary
|
||||
includeLibs
|
||||
includeNotInMenu
|
||||
incT3Lib_htmlmail
|
||||
index_descrLgd
|
||||
index_enable
|
||||
index_externals
|
||||
index_metatags
|
||||
infomail
|
||||
inlineJS
|
||||
inlineLanguageLabel
|
||||
inlineSettings
|
||||
inlineStyle2TempFile
|
||||
innerStdWrap_all
|
||||
innerWrap
|
||||
innerWrap2
|
||||
inputLevels
|
||||
insertClassesFromRTE
|
||||
insertData
|
||||
intensity
|
||||
intTarget
|
||||
intval
|
||||
invert
|
||||
IProcFunc
|
||||
isFalse
|
||||
isGreaterThan
|
||||
isInList
|
||||
isLessThan
|
||||
isPositive
|
||||
isTrue
|
||||
itemArrayProcFunc
|
||||
items
|
||||
iterations
|
||||
javascriptLibs
|
||||
join
|
||||
jpg
|
||||
jsFooterInline
|
||||
jsInline
|
||||
JSMENU
|
||||
JSwindow
|
||||
JSwindow.altUrl
|
||||
JSwindow.altUrl_noDefaultParams
|
||||
JSwindow.expand
|
||||
JSwindow.newWindow
|
||||
JSwindow_params
|
||||
jumpurl
|
||||
jumpurl_enable
|
||||
jumpurl_mailto_disable
|
||||
keep
|
||||
keepNonMatchedTags
|
||||
keywords
|
||||
keywordsField
|
||||
labelStdWrap
|
||||
labelWrap
|
||||
lang
|
||||
language
|
||||
language_alt
|
||||
languageField
|
||||
layer_menu_id
|
||||
layerStyle
|
||||
layout
|
||||
layoutRootPath
|
||||
leftjoin
|
||||
leftOffset
|
||||
levels
|
||||
limit
|
||||
lineColor
|
||||
lineThickness
|
||||
linkAccessRestrictedPages
|
||||
linkParams
|
||||
linkVars
|
||||
linkWrap
|
||||
list
|
||||
listNum
|
||||
lm
|
||||
LOAD_REGISTER
|
||||
locale_all
|
||||
localNesting
|
||||
locationData
|
||||
lockFilePath
|
||||
lockPosition
|
||||
lockPosition_addSelf
|
||||
lockPosition_adjust
|
||||
loginUser
|
||||
longdescURL
|
||||
loop
|
||||
lowColor
|
||||
lower
|
||||
mailto
|
||||
main
|
||||
mainScript
|
||||
makelinks
|
||||
markers
|
||||
markerWrap
|
||||
mask
|
||||
max
|
||||
maxAge
|
||||
maxH
|
||||
maxHeight
|
||||
maxItems
|
||||
maxW
|
||||
maxWidth
|
||||
maxWInText
|
||||
m.bgImg
|
||||
m.bottomImg
|
||||
m.bottomImg_mask
|
||||
md5
|
||||
meaningfulTempFilePrefix
|
||||
menuBackColor
|
||||
menuHeight
|
||||
menuOffset
|
||||
menuWidth
|
||||
message_page_is_being_generated
|
||||
message_preview
|
||||
message_preview_workspace
|
||||
meta
|
||||
metaCharset
|
||||
method
|
||||
minH
|
||||
minifyCSS
|
||||
minifyJS
|
||||
minItems
|
||||
minItems
|
||||
minW
|
||||
m.mask
|
||||
moveJsFromHeaderToFooter
|
||||
MP_defaults
|
||||
MP_disableTypolinkClosestMPvalue
|
||||
MP_mapRootPoints
|
||||
MULTIMEDIA
|
||||
name
|
||||
namespaces
|
||||
negate
|
||||
newRecordFromTable
|
||||
newRecordInPid
|
||||
next
|
||||
niceText
|
||||
NO
|
||||
noAttrib
|
||||
noBlur
|
||||
no_cache
|
||||
noCols
|
||||
noLink
|
||||
noLinkUnderline
|
||||
nonCachedSubst
|
||||
none
|
||||
nonTypoTagStdWrap
|
||||
nonTypoTagUserFunc
|
||||
nonWrappedTag
|
||||
noOrderBy
|
||||
noPageTitle
|
||||
noResultObj
|
||||
normalWhenNoLanguage
|
||||
noRows
|
||||
noScale
|
||||
noScaleUp
|
||||
noscript
|
||||
noStretchAndMarginCells
|
||||
notification_email_charset
|
||||
notification_email_encoding
|
||||
notification_email_urlmode
|
||||
noTrimWrap
|
||||
noValueInsert
|
||||
noWrapAttr
|
||||
numberFormat
|
||||
numRows
|
||||
obj
|
||||
offset
|
||||
offset
|
||||
_offset
|
||||
offsetWrap
|
||||
onlyCurrentPid
|
||||
opacity
|
||||
options
|
||||
orderBy
|
||||
OTABLE
|
||||
outerWrap
|
||||
outline
|
||||
output
|
||||
outputLevels
|
||||
override
|
||||
overrideAttribs
|
||||
overrideEdit
|
||||
overrideId
|
||||
PAGE
|
||||
pageGenScript
|
||||
pageRendererTemplateFile
|
||||
pageTitleFirst
|
||||
parameter
|
||||
params
|
||||
parseFunc
|
||||
parseFunc
|
||||
parseValues
|
||||
partialRootPath
|
||||
path
|
||||
pidInList
|
||||
pixelSpaceFontSizeRef
|
||||
plainTextStdWrap
|
||||
pluginNames
|
||||
png
|
||||
postCObject
|
||||
postUserFunc
|
||||
postUserFunkInt
|
||||
preCObject
|
||||
prefixComment
|
||||
prefixLocalAnchors
|
||||
prefixLocalAnchors
|
||||
prefixRelPathWith
|
||||
preIfEmptyListNum
|
||||
prepend
|
||||
preUserFunc
|
||||
prev
|
||||
previewBorder
|
||||
printBeforeContent
|
||||
prioriCalc
|
||||
processScript
|
||||
properties
|
||||
protect
|
||||
protectLvar
|
||||
quality
|
||||
quality
|
||||
radioInputWrap
|
||||
radioWrap
|
||||
range
|
||||
range
|
||||
rawUrlEncode
|
||||
recipient
|
||||
RECORDS
|
||||
recursive
|
||||
redirect
|
||||
reduceColors
|
||||
relativeToParentLayer
|
||||
relativeToTriggerItem
|
||||
relPathPrefix
|
||||
remap
|
||||
remapTag
|
||||
removeBadHTML
|
||||
removeDefaultJS
|
||||
removeIfEquals
|
||||
removeIfFalse
|
||||
removeObjectsOfDummy
|
||||
removePrependedNumbers
|
||||
removeTags
|
||||
removeWrapping
|
||||
renderCharset
|
||||
renderObj
|
||||
renderWrap
|
||||
REQ
|
||||
required
|
||||
required
|
||||
resources
|
||||
resultObj
|
||||
returnKey
|
||||
returnLast
|
||||
reverseOrder
|
||||
rightjoin
|
||||
rm
|
||||
rmTagIfNoAttrib
|
||||
RO_chBgColor
|
||||
rootline
|
||||
rotate
|
||||
rows
|
||||
rowSpace
|
||||
sample
|
||||
sample
|
||||
section
|
||||
sectionIndex
|
||||
select
|
||||
sendCacheHeaders
|
||||
sendCacheHeaders_onlyWhenLoginDeniedInBranch
|
||||
separator
|
||||
setContentToCurrent
|
||||
setCurrent
|
||||
setfixed
|
||||
setFixedHeight
|
||||
setFixedWidth
|
||||
setJS_mouseOver
|
||||
setJS_openPic
|
||||
setKeywords
|
||||
shadow
|
||||
sharpen
|
||||
shear
|
||||
short
|
||||
shortcutIcon
|
||||
showAccessRestrictedPages
|
||||
showActive
|
||||
showFirst
|
||||
simulateStaticDocuments
|
||||
simulateStaticDocuments_addTitle
|
||||
simulateStaticDocuments_dontRedirectPathInfoError
|
||||
simulateStaticDocuments_noTypeIfNoTitle
|
||||
simulateStaticDocuments_pEnc
|
||||
simulateStaticDocuments_pEnc_onlyP
|
||||
simulateStaticDocuments_replacementChar
|
||||
sitetitle
|
||||
size
|
||||
size.default
|
||||
size.field
|
||||
slide
|
||||
smallFormFields
|
||||
solarize
|
||||
source
|
||||
space
|
||||
spaceAfter
|
||||
spaceBefore
|
||||
spaceBelowAbove
|
||||
spaceLeft
|
||||
spaceRight
|
||||
spacing
|
||||
spamProtectEmailAddresses
|
||||
spamProtectEmailAddresses_atSubst
|
||||
spamProtectEmailAddresses_lastDotSubst
|
||||
SPC
|
||||
special
|
||||
split
|
||||
splitRendering
|
||||
src
|
||||
stat
|
||||
stat_apache
|
||||
stat_apache_logfile
|
||||
stat_apache_niceTitle
|
||||
stat_apache_noHost
|
||||
stat_apache_noRoot
|
||||
stat_apache_notExtended
|
||||
stat_apache_pagenames
|
||||
stat_excludeBEuserHits
|
||||
stat_excludeIPList
|
||||
stat_mysql
|
||||
stat_pageLen
|
||||
stat_titleLen
|
||||
stat_typeNumList
|
||||
stayFolded
|
||||
stdWrap
|
||||
stdWrap2
|
||||
strftime
|
||||
stripHtml
|
||||
stripProfile
|
||||
stylesheet
|
||||
submenuObjSuffixes
|
||||
subMenuOffset
|
||||
subparts
|
||||
subst_elementUid
|
||||
subst_elementUid
|
||||
substMarksSeparately
|
||||
substring
|
||||
swirl
|
||||
sword
|
||||
sword_noMixedCase
|
||||
sword_standAlone
|
||||
sys_language_mode
|
||||
sys_language_overlay
|
||||
sys_language_softExclude
|
||||
sys_language_softMergeIfNotBlank
|
||||
sys_language_uid
|
||||
sys_page
|
||||
table
|
||||
tableParams
|
||||
tables
|
||||
tableStdWrap
|
||||
tableStyle
|
||||
tags
|
||||
target
|
||||
TCAselectItem
|
||||
TDparams
|
||||
template
|
||||
TEMPLATE
|
||||
templateFile
|
||||
text
|
||||
TEXT
|
||||
textMargin
|
||||
textMargin_outOfText
|
||||
textMaxLength
|
||||
textObjNum
|
||||
textPos
|
||||
textStyle
|
||||
thickness
|
||||
thousands_sep
|
||||
title
|
||||
titleTagFunction
|
||||
titleText
|
||||
titleText
|
||||
tm
|
||||
TMENU
|
||||
token
|
||||
topOffset
|
||||
totalWidth
|
||||
transparentBackground
|
||||
transparentColor
|
||||
trim
|
||||
twice
|
||||
typeNum
|
||||
types
|
||||
typolink
|
||||
typolinkCheckRootline
|
||||
typolinkEnableLinksAcrossDomains
|
||||
typolinkLinkAccessRestrictedPages
|
||||
typolinkLinkAccessRestrictedPages_addParams
|
||||
uid
|
||||
uidInList
|
||||
uniqueGlobal
|
||||
uniqueLinkVars
|
||||
uniqueLocal
|
||||
unset
|
||||
unsetEmpty
|
||||
upper
|
||||
url
|
||||
useCacheHash
|
||||
useLargestItemX
|
||||
useLargestItemY
|
||||
USER
|
||||
USERDEF1
|
||||
USERDEF1RO
|
||||
USERDEF2RO
|
||||
USERFEF2
|
||||
userFunc
|
||||
userFunc_updateArray
|
||||
userIdColumn
|
||||
USER_INT
|
||||
USERNAME_substToken
|
||||
USERUID_substToken
|
||||
USR
|
||||
USRRO
|
||||
value
|
||||
variables
|
||||
wave
|
||||
where
|
||||
width
|
||||
wordSpacing
|
||||
workArea
|
||||
workOnSubpart
|
||||
wrap
|
||||
wrap2
|
||||
wrap3
|
||||
wrapAlign
|
||||
wrapFieldName
|
||||
wrapItemAndSub
|
||||
wrapNoWrappedLines
|
||||
wraps
|
||||
xhtml_11
|
||||
xhtml_2
|
||||
xhtml_basic
|
||||
xhtml_cleaning
|
||||
xhtmlDoctype
|
||||
xhtml_frames
|
||||
xhtml+rdfa_10
|
||||
xhtml_strict
|
||||
xhtml_trans
|
||||
xml_10
|
||||
xml_11
|
||||
xmlprologue
|
||||
xPosOffset
|
||||
yPosOffset
|
|
@ -0,0 +1,231 @@
|
|||
# OCaml 3.12.1
|
||||
|
||||
# Keywords
|
||||
and
|
||||
as
|
||||
assert
|
||||
begin
|
||||
class
|
||||
constraint
|
||||
do
|
||||
done
|
||||
downto
|
||||
else
|
||||
end
|
||||
exception
|
||||
external
|
||||
false
|
||||
for
|
||||
fun
|
||||
function
|
||||
functor
|
||||
if
|
||||
in
|
||||
include
|
||||
inherit
|
||||
initializer
|
||||
lazy
|
||||
let
|
||||
match
|
||||
method
|
||||
module
|
||||
mutable
|
||||
new
|
||||
object
|
||||
of
|
||||
open
|
||||
or
|
||||
private
|
||||
rec
|
||||
sig
|
||||
struct
|
||||
then
|
||||
to
|
||||
true
|
||||
try
|
||||
type
|
||||
val
|
||||
virtual
|
||||
when
|
||||
while
|
||||
with
|
||||
|
||||
# Pervasives
|
||||
!
|
||||
!=
|
||||
&
|
||||
&&
|
||||
*
|
||||
**
|
||||
*.
|
||||
+
|
||||
+.
|
||||
-
|
||||
-.
|
||||
/
|
||||
/.
|
||||
:=
|
||||
<
|
||||
<=
|
||||
<>
|
||||
=
|
||||
==
|
||||
>
|
||||
>=
|
||||
@
|
||||
FP_infinite
|
||||
FP_nan
|
||||
FP_normal
|
||||
FP_subnormal
|
||||
FP_zero
|
||||
LargeFile
|
||||
Open_append
|
||||
Open_binary
|
||||
Open_creat
|
||||
Open_nonblock
|
||||
Open_rdonly
|
||||
Open_text
|
||||
Open_trunc
|
||||
Open_wronly
|
||||
Oupen_excl
|
||||
^
|
||||
^^
|
||||
abs
|
||||
abs_float
|
||||
acos
|
||||
asin
|
||||
asr
|
||||
at_exit
|
||||
atan
|
||||
atan2
|
||||
bool_of_string
|
||||
ceil
|
||||
char_of_int
|
||||
classify_float
|
||||
close_in
|
||||
close_in_noerr
|
||||
close_out
|
||||
close_out_noerr
|
||||
compare
|
||||
cos
|
||||
cosh
|
||||
decr
|
||||
do_at_exit
|
||||
epsilon_float
|
||||
exit
|
||||
exp
|
||||
expm1
|
||||
failwith
|
||||
float
|
||||
float_of_int
|
||||
float_of_string
|
||||
floor
|
||||
flush
|
||||
flush_all
|
||||
format
|
||||
format4
|
||||
format_of_string
|
||||
fpclass
|
||||
frexp
|
||||
fst
|
||||
ignore
|
||||
in_channel
|
||||
in_channel_length
|
||||
incr
|
||||
infinity
|
||||
input
|
||||
input_binary_int
|
||||
input_byte
|
||||
input_char
|
||||
input_line
|
||||
input_value
|
||||
int_of_char
|
||||
int_of_float
|
||||
int_of_string
|
||||
invalid_arg
|
||||
land
|
||||
ldexp
|
||||
lnot
|
||||
log
|
||||
log10
|
||||
log1p
|
||||
lor
|
||||
lsl
|
||||
lsr
|
||||
lxor
|
||||
max
|
||||
max_float
|
||||
max_int
|
||||
min
|
||||
min_float
|
||||
min_int
|
||||
mod
|
||||
mod_float
|
||||
modf
|
||||
nan
|
||||
neg_infinity
|
||||
not
|
||||
open_flag
|
||||
open_in
|
||||
open_in_bin
|
||||
open_in_gen
|
||||
open_out
|
||||
open_out_bin
|
||||
open_out_gen
|
||||
or
|
||||
out_channel
|
||||
out_channel_length
|
||||
output
|
||||
output_binary_int
|
||||
output_byte
|
||||
output_char
|
||||
output_string
|
||||
output_value
|
||||
pos_in
|
||||
pos_out
|
||||
pred
|
||||
prerr_char
|
||||
prerr_endline
|
||||
prerr_float
|
||||
prerr_int
|
||||
prerr_newline
|
||||
prerr_string
|
||||
print_char
|
||||
print_endline
|
||||
print_float
|
||||
print_int
|
||||
print_newline
|
||||
print_string
|
||||
raise
|
||||
read_float
|
||||
read_int
|
||||
read_line
|
||||
really_input
|
||||
ref
|
||||
seek_in
|
||||
seek_out
|
||||
set_binary_mode_in
|
||||
set_binary_mode_out
|
||||
sin
|
||||
sinh
|
||||
snd
|
||||
sqrt
|
||||
stderr
|
||||
stdin
|
||||
stdout
|
||||
string_of_bool
|
||||
string_of_float
|
||||
string_of_format
|
||||
string_of_int
|
||||
succ
|
||||
tan
|
||||
tanh
|
||||
truncate
|
||||
unsafe_really_input
|
||||
valid_float_lexem
|
||||
||
|
||||
~
|
||||
~+
|
||||
~+.
|
||||
~-
|
||||
~-.
|
|
@ -0,0 +1,313 @@
|
|||
`define
|
||||
`else
|
||||
`endif
|
||||
`ifdef
|
||||
`ifndef
|
||||
`macromodule
|
||||
`module
|
||||
`primitive
|
||||
`timescale
|
||||
above
|
||||
abs
|
||||
absdelay
|
||||
ac_stim
|
||||
acos
|
||||
acosh
|
||||
alias
|
||||
aliasparam
|
||||
always
|
||||
always_comb
|
||||
always_ff
|
||||
always_latch
|
||||
analog
|
||||
analysis
|
||||
and
|
||||
asin
|
||||
asinh
|
||||
assert
|
||||
assign
|
||||
assume
|
||||
atan
|
||||
atan2
|
||||
atanh
|
||||
automatic
|
||||
before
|
||||
begin
|
||||
bind
|
||||
bins
|
||||
binsof
|
||||
bit
|
||||
branch
|
||||
break
|
||||
buf
|
||||
bufif0
|
||||
bufif1
|
||||
byte
|
||||
case
|
||||
casex
|
||||
casez
|
||||
cell
|
||||
chandle
|
||||
class
|
||||
clocking
|
||||
cmos
|
||||
config
|
||||
connectmodule
|
||||
connectrules
|
||||
const
|
||||
constraint
|
||||
context
|
||||
continue
|
||||
cos
|
||||
cosh
|
||||
cover
|
||||
covergroup
|
||||
coverpoint
|
||||
cross
|
||||
ddt
|
||||
ddx
|
||||
deassign
|
||||
default
|
||||
define
|
||||
defparam
|
||||
design
|
||||
disable
|
||||
discipline
|
||||
dist
|
||||
do
|
||||
driver_update
|
||||
edge
|
||||
else
|
||||
end
|
||||
endcase
|
||||
endclass
|
||||
endclocking
|
||||
endconfig
|
||||
endconnectrules
|
||||
enddiscipline
|
||||
endfunction
|
||||
endgenerate
|
||||
endgroup
|
||||
endif
|
||||
endinterface
|
||||
endmodule
|
||||
endnature
|
||||
endpackage
|
||||
endparamset
|
||||
endprimitive
|
||||
endprogram
|
||||
endproperty
|
||||
endsequence
|
||||
endspecify
|
||||
endtable
|
||||
endtask
|
||||
enum
|
||||
event
|
||||
exclude
|
||||
exp
|
||||
expect
|
||||
export
|
||||
extends
|
||||
extern
|
||||
final
|
||||
final_step
|
||||
first_match
|
||||
flicker_noise
|
||||
floor
|
||||
flow
|
||||
for
|
||||
force
|
||||
foreach
|
||||
forever
|
||||
fork
|
||||
forkjoin
|
||||
from
|
||||
function
|
||||
generate
|
||||
genvar
|
||||
ground
|
||||
highz0
|
||||
highz1
|
||||
hypot
|
||||
idt
|
||||
idtmod
|
||||
if
|
||||
ifdef
|
||||
iff
|
||||
ifndef
|
||||
ifnone
|
||||
ignore_bins
|
||||
illegal_bins
|
||||
import
|
||||
incdir
|
||||
include
|
||||
inf
|
||||
initial
|
||||
initial_step
|
||||
inout
|
||||
input
|
||||
inside
|
||||
instance
|
||||
int
|
||||
integer
|
||||
interface
|
||||
intersect
|
||||
join
|
||||
join_any
|
||||
join_none
|
||||
laplace_nd
|
||||
laplace_np
|
||||
laplace_zd
|
||||
laplace_zp
|
||||
large
|
||||
last_crossing
|
||||
liblist
|
||||
library
|
||||
limexp
|
||||
ln
|
||||
local
|
||||
localparam
|
||||
log
|
||||
logic
|
||||
longint
|
||||
macromodule
|
||||
mailbox
|
||||
matches
|
||||
max
|
||||
medium
|
||||
min
|
||||
modport
|
||||
module
|
||||
nand
|
||||
nand
|
||||
nature
|
||||
negedge
|
||||
net_resolution
|
||||
new
|
||||
nmos
|
||||
nmos
|
||||
noise_table
|
||||
nor
|
||||
noshowcancelled
|
||||
not
|
||||
notif0
|
||||
notif1
|
||||
null
|
||||
or
|
||||
output
|
||||
package
|
||||
packed
|
||||
parameter
|
||||
paramset
|
||||
pmos
|
||||
pmos
|
||||
posedge
|
||||
potential
|
||||
pow
|
||||
primitive
|
||||
priority
|
||||
program
|
||||
property
|
||||
protected
|
||||
pull0
|
||||
pull1
|
||||
pullup
|
||||
pulsestyle_ondetect
|
||||
pulsestyle_onevent
|
||||
pure
|
||||
rand
|
||||
randc
|
||||
randcase
|
||||
randcase
|
||||
randsequence
|
||||
rcmos
|
||||
real
|
||||
realtime
|
||||
ref
|
||||
reg
|
||||
release
|
||||
repeat
|
||||
return
|
||||
rnmos
|
||||
rpmos
|
||||
rtran
|
||||
rtranif0
|
||||
rtranif1
|
||||
scalared
|
||||
semaphore
|
||||
sequence
|
||||
shortint
|
||||
shortreal
|
||||
showcancelled
|
||||
signed
|
||||
sin
|
||||
sinh
|
||||
slew
|
||||
small
|
||||
solve
|
||||
specify
|
||||
specparam
|
||||
sqrt
|
||||
static
|
||||
string
|
||||
strong0
|
||||
strong1
|
||||
struct
|
||||
super
|
||||
supply
|
||||
supply0
|
||||
supply1
|
||||
table
|
||||
tagged
|
||||
tan
|
||||
tanh
|
||||
task
|
||||
then
|
||||
this
|
||||
throughout
|
||||
time
|
||||
timeprecision
|
||||
timer
|
||||
timescale
|
||||
timeunit
|
||||
tran
|
||||
tran
|
||||
tranif0
|
||||
tranif1
|
||||
transition
|
||||
tri
|
||||
tri
|
||||
tri0
|
||||
tri1
|
||||
triand
|
||||
trior
|
||||
trireg
|
||||
type
|
||||
typedef
|
||||
union
|
||||
unique
|
||||
unsigned
|
||||
use
|
||||
uwire
|
||||
var
|
||||
vectored
|
||||
virtual
|
||||
void
|
||||
wait
|
||||
wait_order
|
||||
wand
|
||||
weak0
|
||||
weak1
|
||||
while
|
||||
white_noise
|
||||
wildcard
|
||||
wire
|
||||
with
|
||||
within
|
||||
wor
|
||||
wreal
|
||||
xnor
|
||||
xor
|
||||
zi_nd
|
||||
zi_np
|
||||
zi_zd
|
|
@ -0,0 +1,84 @@
|
|||
;;; bind-key-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "bind-key" "bind-key.el" (0 0 0 0))
|
||||
;;; Generated autoloads from bind-key.el
|
||||
|
||||
(autoload 'bind-key "bind-key" "\
|
||||
Bind KEY-NAME to COMMAND in KEYMAP (`global-map' if not passed).
|
||||
|
||||
KEY-NAME may be a vector, in which case it is passed straight to
|
||||
`define-key'. Or it may be a string to be interpreted as
|
||||
spelled-out keystrokes, e.g., \"C-c C-z\". See documentation of
|
||||
`edmacro-mode' for details.
|
||||
|
||||
COMMAND must be an interactive function or lambda form.
|
||||
|
||||
KEYMAP, if present, should be a keymap and not a quoted symbol.
|
||||
For example:
|
||||
|
||||
(bind-key \"M-h\" #'some-interactive-function my-mode-map)
|
||||
|
||||
If PREDICATE is non-nil, it is a form evaluated to determine when
|
||||
a key should be bound. It must return non-nil in such cases.
|
||||
Emacs can evaluate this form at any time that it does redisplay
|
||||
or operates on menu data structures, so you should write it so it
|
||||
can safely be called at any time.
|
||||
|
||||
\(fn KEY-NAME COMMAND &optional KEYMAP PREDICATE)" nil t)
|
||||
|
||||
(autoload 'unbind-key "bind-key" "\
|
||||
Unbind the given KEY-NAME, within the KEYMAP (if specified).
|
||||
See `bind-key' for more details.
|
||||
|
||||
\(fn KEY-NAME &optional KEYMAP)" nil t)
|
||||
|
||||
(autoload 'bind-key* "bind-key" "\
|
||||
Similar to `bind-key', but overrides any mode-specific bindings.
|
||||
|
||||
\(fn KEY-NAME COMMAND &optional PREDICATE)" nil t)
|
||||
|
||||
(autoload 'bind-keys "bind-key" "\
|
||||
Bind multiple keys at once.
|
||||
|
||||
Accepts keyword arguments:
|
||||
:map MAP - a keymap into which the keybindings should be
|
||||
added
|
||||
:prefix KEY - prefix key for these bindings
|
||||
:prefix-map MAP - name of the prefix map that should be created
|
||||
for these bindings
|
||||
:prefix-docstring STR - docstring for the prefix-map variable
|
||||
:menu-name NAME - optional menu string for prefix map
|
||||
:filter FORM - optional form to determine when bindings apply
|
||||
|
||||
The rest of the arguments are conses of keybinding string and a
|
||||
function symbol (unquoted).
|
||||
|
||||
\(fn &rest ARGS)" nil t)
|
||||
|
||||
(autoload 'bind-keys* "bind-key" "\
|
||||
|
||||
|
||||
\(fn &rest ARGS)" nil t)
|
||||
|
||||
(autoload 'describe-personal-keybindings "bind-key" "\
|
||||
Display all the personal keybindings defined by `bind-key'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "bind-key" '("compare-keybindings" "get-binding-description" "bind-key" "personal-keybindings" "override-global-m")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; bind-key-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "bind-key" "20180513.430" "A simple way to manage personal keybindings" 'nil :commit "3fb8f39f5901a4c0ef7887283e56e60b541675ea" :keywords '("keys" "keybinding" "config" "dotemacs") :authors '(("John Wiegley" . "johnw@newartisans.com")) :maintainer '("John Wiegley" . "johnw@newartisans.com") :url "https://github.com/jwiegley/use-package")
|
|
@ -0,0 +1,455 @@
|
|||
;;; bind-key.el --- A simple way to manage personal keybindings
|
||||
|
||||
;; Copyright (c) 2012-2017 John Wiegley
|
||||
|
||||
;; Author: John Wiegley <johnw@newartisans.com>
|
||||
;; Maintainer: John Wiegley <johnw@newartisans.com>
|
||||
;; Created: 16 Jun 2012
|
||||
;; Modified: 29 Nov 2017
|
||||
;; Version: 2.4
|
||||
;; Package-Version: 20180513.430
|
||||
;; Keywords: keys keybinding config dotemacs
|
||||
;; URL: https://github.com/jwiegley/use-package
|
||||
|
||||
;; This program is free software; you can redistribute it and/or
|
||||
;; modify it under the terms of the gnu general public license as
|
||||
;; published by the free software foundation; either version 3, or (at
|
||||
;; your option) any later version.
|
||||
|
||||
;; This program is distributed in the hope that it will be useful, but
|
||||
;; without any warranty; without even the implied warranty of
|
||||
;; merchantability or fitness for a particular purpose. see the gnu
|
||||
;; general public license for more details.
|
||||
|
||||
;; You should have received a copy of the gnu general public license
|
||||
;; along with gnu emacs; see the file copying. if not, write to the
|
||||
;; free software foundation, inc., 59 temple place - suite 330,
|
||||
;; boston, ma 02111-1307, usa.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; If you have lots of keybindings set in your .emacs file, it can be hard to
|
||||
;; know which ones you haven't set yet, and which may now be overriding some
|
||||
;; new default in a new emacs version. This module aims to solve that
|
||||
;; problem.
|
||||
;;
|
||||
;; Bind keys as follows in your .emacs:
|
||||
;;
|
||||
;; (require 'bind-key)
|
||||
;;
|
||||
;; (bind-key "C-c x" 'my-ctrl-c-x-command)
|
||||
;;
|
||||
;; If the keybinding argument is a vector, it is passed straight to
|
||||
;; `define-key', so remapping a key with `[remap COMMAND]' works as
|
||||
;; expected:
|
||||
;;
|
||||
;; (bind-key [remap original-ctrl-c-x-command] 'my-ctrl-c-x-command)
|
||||
;;
|
||||
;; If you want the keybinding to override all minor modes that may also bind
|
||||
;; the same key, use the `bind-key*' form:
|
||||
;;
|
||||
;; (bind-key* "<C-return>" 'other-window)
|
||||
;;
|
||||
;; If you want to rebind a key only in a particular keymap, use:
|
||||
;;
|
||||
;; (bind-key "C-c x" 'my-ctrl-c-x-command some-other-mode-map)
|
||||
;;
|
||||
;; To unbind a key within a keymap (for example, to stop your favorite major
|
||||
;; mode from changing a binding that you don't want to override everywhere),
|
||||
;; use `unbind-key':
|
||||
;;
|
||||
;; (unbind-key "C-c x" some-other-mode-map)
|
||||
;;
|
||||
;; To bind multiple keys at once, or set up a prefix map, a `bind-keys' macro
|
||||
;; is provided. It accepts keyword arguments, please see its documentation
|
||||
;; for a detailed description.
|
||||
;;
|
||||
;; To add keys into a specific map, use :map argument
|
||||
;;
|
||||
;; (bind-keys :map dired-mode-map
|
||||
;; ("o" . dired-omit-mode)
|
||||
;; ("a" . some-custom-dired-function))
|
||||
;;
|
||||
;; To set up a prefix map, use `:prefix-map' and `:prefix' arguments (both are
|
||||
;; required)
|
||||
;;
|
||||
;; (bind-keys :prefix-map my-customize-prefix-map
|
||||
;; :prefix "C-c c"
|
||||
;; ("f" . customize-face)
|
||||
;; ("v" . customize-variable))
|
||||
;;
|
||||
;; You can combine all the keywords together. Additionally,
|
||||
;; `:prefix-docstring' can be specified to set documentation of created
|
||||
;; `:prefix-map' variable.
|
||||
;;
|
||||
;; To bind multiple keys in a `bind-key*' way (to be sure that your bindings
|
||||
;; will not be overridden by other modes), you may use `bind-keys*' macro:
|
||||
;;
|
||||
;; (bind-keys*
|
||||
;; ("C-o" . other-window)
|
||||
;; ("C-M-n" . forward-page)
|
||||
;; ("C-M-p" . backward-page))
|
||||
;;
|
||||
;; After Emacs loads, you can see a summary of all your personal keybindings
|
||||
;; currently in effect with this command:
|
||||
;;
|
||||
;; M-x describe-personal-keybindings
|
||||
;;
|
||||
;; This display will tell you if you've overriden a default keybinding, and
|
||||
;; what the default was. Also, it will tell you if the key was rebound after
|
||||
;; your binding it with `bind-key', and what it was rebound it to.
|
||||
|
||||
;;; Code:
|
||||
|
||||
(require 'cl-lib)
|
||||
(require 'easy-mmode)
|
||||
|
||||
(defgroup bind-key nil
|
||||
"A simple way to manage personal keybindings"
|
||||
:group 'emacs)
|
||||
|
||||
(defcustom bind-key-column-widths '(18 . 40)
|
||||
"Width of columns in `describe-personal-keybindings'."
|
||||
:type '(cons integer integer)
|
||||
:group 'bind-key)
|
||||
|
||||
(defcustom bind-key-segregation-regexp
|
||||
"\\`\\(\\(C-[chx] \\|M-[gso] \\)\\([CM]-\\)?\\|.+-\\)"
|
||||
"Regular expression used to divide key sets in the output from
|
||||
\\[describe-personal-keybindings]."
|
||||
:type 'regexp
|
||||
:group 'bind-key)
|
||||
|
||||
(defcustom bind-key-describe-special-forms nil
|
||||
"If non-nil, extract docstrings from lambdas, closures and keymaps if possible."
|
||||
:type 'boolean
|
||||
:group 'bind-key)
|
||||
|
||||
;; Create override-global-mode to force key remappings
|
||||
|
||||
(defvar override-global-map (make-keymap)
|
||||
"override-global-mode keymap")
|
||||
|
||||
(define-minor-mode override-global-mode
|
||||
"A minor mode so that keymap settings override other modes."
|
||||
t "")
|
||||
|
||||
;; the keymaps in `emulation-mode-map-alists' take precedence over
|
||||
;; `minor-mode-map-alist'
|
||||
(add-to-list 'emulation-mode-map-alists
|
||||
`((override-global-mode . ,override-global-map)))
|
||||
|
||||
(defvar personal-keybindings nil
|
||||
"List of bindings performed by `bind-key'.
|
||||
|
||||
Elements have the form ((KEY . [MAP]) CMD ORIGINAL-CMD)")
|
||||
|
||||
;;;###autoload
|
||||
(defmacro bind-key (key-name command &optional keymap predicate)
|
||||
"Bind KEY-NAME to COMMAND in KEYMAP (`global-map' if not passed).
|
||||
|
||||
KEY-NAME may be a vector, in which case it is passed straight to
|
||||
`define-key'. Or it may be a string to be interpreted as
|
||||
spelled-out keystrokes, e.g., \"C-c C-z\". See documentation of
|
||||
`edmacro-mode' for details.
|
||||
|
||||
COMMAND must be an interactive function or lambda form.
|
||||
|
||||
KEYMAP, if present, should be a keymap and not a quoted symbol.
|
||||
For example:
|
||||
|
||||
(bind-key \"M-h\" #'some-interactive-function my-mode-map)
|
||||
|
||||
If PREDICATE is non-nil, it is a form evaluated to determine when
|
||||
a key should be bound. It must return non-nil in such cases.
|
||||
Emacs can evaluate this form at any time that it does redisplay
|
||||
or operates on menu data structures, so you should write it so it
|
||||
can safely be called at any time."
|
||||
(let ((namevar (make-symbol "name"))
|
||||
(keyvar (make-symbol "key"))
|
||||
(kdescvar (make-symbol "kdesc"))
|
||||
(bindingvar (make-symbol "binding")))
|
||||
`(let* ((,namevar ,key-name)
|
||||
(,keyvar (if (vectorp ,namevar) ,namevar
|
||||
(read-kbd-macro ,namevar)))
|
||||
(,kdescvar (cons (if (stringp ,namevar) ,namevar
|
||||
(key-description ,namevar))
|
||||
(quote ,keymap)))
|
||||
(,bindingvar (lookup-key (or ,keymap global-map) ,keyvar)))
|
||||
(let ((entry (assoc ,kdescvar personal-keybindings))
|
||||
(details (list ,command
|
||||
(unless (numberp ,bindingvar)
|
||||
,bindingvar))))
|
||||
(if entry
|
||||
(setcdr entry details)
|
||||
(add-to-list 'personal-keybindings (cons ,kdescvar details))))
|
||||
,(if predicate
|
||||
`(define-key (or ,keymap global-map) ,keyvar
|
||||
'(menu-item "" nil :filter (lambda (&optional _)
|
||||
(when ,predicate
|
||||
,command))))
|
||||
`(define-key (or ,keymap global-map) ,keyvar ,command)))))
|
||||
|
||||
;;;###autoload
|
||||
(defmacro unbind-key (key-name &optional keymap)
|
||||
"Unbind the given KEY-NAME, within the KEYMAP (if specified).
|
||||
See `bind-key' for more details."
|
||||
`(progn
|
||||
(bind-key ,key-name nil ,keymap)
|
||||
(setq personal-keybindings
|
||||
(cl-delete-if #'(lambda (k)
|
||||
,(if keymap
|
||||
`(and (consp (car k))
|
||||
(string= (caar k) ,key-name)
|
||||
(eq (cdar k) ',keymap))
|
||||
`(and (stringp (car k))
|
||||
(string= (car k) ,key-name))))
|
||||
personal-keybindings))))
|
||||
|
||||
;;;###autoload
|
||||
(defmacro bind-key* (key-name command &optional predicate)
|
||||
"Similar to `bind-key', but overrides any mode-specific bindings."
|
||||
`(bind-key ,key-name ,command override-global-map ,predicate))
|
||||
|
||||
(defun bind-keys-form (args keymap)
|
||||
"Bind multiple keys at once.
|
||||
|
||||
Accepts keyword arguments:
|
||||
:map MAP - a keymap into which the keybindings should be
|
||||
added
|
||||
:prefix KEY - prefix key for these bindings
|
||||
:prefix-map MAP - name of the prefix map that should be created
|
||||
for these bindings
|
||||
:prefix-docstring STR - docstring for the prefix-map variable
|
||||
:menu-name NAME - optional menu string for prefix map
|
||||
:filter FORM - optional form to determine when bindings apply
|
||||
|
||||
The rest of the arguments are conses of keybinding string and a
|
||||
function symbol (unquoted)."
|
||||
(let (map
|
||||
doc
|
||||
prefix-map
|
||||
prefix
|
||||
filter
|
||||
menu-name
|
||||
pkg)
|
||||
|
||||
;; Process any initial keyword arguments
|
||||
(let ((cont t))
|
||||
(while (and cont args)
|
||||
(if (cond ((and (eq :map (car args))
|
||||
(not prefix-map))
|
||||
(setq map (cadr args)))
|
||||
((eq :prefix-docstring (car args))
|
||||
(setq doc (cadr args)))
|
||||
((and (eq :prefix-map (car args))
|
||||
(not (memq map '(global-map
|
||||
override-global-map))))
|
||||
(setq prefix-map (cadr args)))
|
||||
((eq :prefix (car args))
|
||||
(setq prefix (cadr args)))
|
||||
((eq :filter (car args))
|
||||
(setq filter (cadr args)) t)
|
||||
((eq :menu-name (car args))
|
||||
(setq menu-name (cadr args)))
|
||||
((eq :package (car args))
|
||||
(setq pkg (cadr args))))
|
||||
(setq args (cddr args))
|
||||
(setq cont nil))))
|
||||
|
||||
(when (or (and prefix-map (not prefix))
|
||||
(and prefix (not prefix-map)))
|
||||
(error "Both :prefix-map and :prefix must be supplied"))
|
||||
|
||||
(when (and menu-name (not prefix))
|
||||
(error "If :menu-name is supplied, :prefix must be too"))
|
||||
|
||||
(unless map (setq map keymap))
|
||||
|
||||
;; Process key binding arguments
|
||||
(let (first next)
|
||||
(while args
|
||||
(if (keywordp (car args))
|
||||
(progn
|
||||
(setq next args)
|
||||
(setq args nil))
|
||||
(if first
|
||||
(nconc first (list (car args)))
|
||||
(setq first (list (car args))))
|
||||
(setq args (cdr args))))
|
||||
|
||||
(cl-flet
|
||||
((wrap (map bindings)
|
||||
(if (and map pkg (not (memq map '(global-map
|
||||
override-global-map))))
|
||||
`((if (boundp ',map)
|
||||
,(macroexp-progn bindings)
|
||||
(eval-after-load
|
||||
,(if (symbolp pkg) `',pkg pkg)
|
||||
',(macroexp-progn bindings))))
|
||||
bindings)))
|
||||
|
||||
(append
|
||||
(when prefix-map
|
||||
`((defvar ,prefix-map)
|
||||
,@(when doc `((put ',prefix-map 'variable-documentation ,doc)))
|
||||
,@(if menu-name
|
||||
`((define-prefix-command ',prefix-map nil ,menu-name))
|
||||
`((define-prefix-command ',prefix-map)))
|
||||
,@(if (and map (not (eq map 'global-map)))
|
||||
(wrap map `((bind-key ,prefix ',prefix-map ,map ,filter)))
|
||||
`((bind-key ,prefix ',prefix-map nil ,filter)))))
|
||||
(wrap map
|
||||
(cl-mapcan
|
||||
(lambda (form)
|
||||
(let ((fun (and (cdr form) (list 'function (cdr form)))))
|
||||
(if prefix-map
|
||||
`((bind-key ,(car form) ,fun ,prefix-map ,filter))
|
||||
(if (and map (not (eq map 'global-map)))
|
||||
`((bind-key ,(car form) ,fun ,map ,filter))
|
||||
`((bind-key ,(car form) ,fun nil ,filter))))))
|
||||
first))
|
||||
(when next
|
||||
(bind-keys-form (if pkg
|
||||
(cons :package (cons pkg next))
|
||||
next) map)))))))
|
||||
|
||||
;;;###autoload
|
||||
(defmacro bind-keys (&rest args)
|
||||
"Bind multiple keys at once.
|
||||
|
||||
Accepts keyword arguments:
|
||||
:map MAP - a keymap into which the keybindings should be
|
||||
added
|
||||
:prefix KEY - prefix key for these bindings
|
||||
:prefix-map MAP - name of the prefix map that should be created
|
||||
for these bindings
|
||||
:prefix-docstring STR - docstring for the prefix-map variable
|
||||
:menu-name NAME - optional menu string for prefix map
|
||||
:filter FORM - optional form to determine when bindings apply
|
||||
|
||||
The rest of the arguments are conses of keybinding string and a
|
||||
function symbol (unquoted)."
|
||||
(macroexp-progn (bind-keys-form args nil)))
|
||||
|
||||
;;;###autoload
|
||||
(defmacro bind-keys* (&rest args)
|
||||
(macroexp-progn (bind-keys-form args 'override-global-map)))
|
||||
|
||||
(defun get-binding-description (elem)
|
||||
(cond
|
||||
((listp elem)
|
||||
(cond
|
||||
((memq (car elem) '(lambda function))
|
||||
(if (and bind-key-describe-special-forms
|
||||
(stringp (nth 2 elem)))
|
||||
(nth 2 elem)
|
||||
"#<lambda>"))
|
||||
((eq 'closure (car elem))
|
||||
(if (and bind-key-describe-special-forms
|
||||
(stringp (nth 3 elem)))
|
||||
(nth 3 elem)
|
||||
"#<closure>"))
|
||||
((eq 'keymap (car elem))
|
||||
"#<keymap>")
|
||||
(t
|
||||
elem)))
|
||||
;; must be a symbol, non-symbol keymap case covered above
|
||||
((and bind-key-describe-special-forms (keymapp elem))
|
||||
(let ((doc (get elem 'variable-documentation)))
|
||||
(if (stringp doc) doc elem)))
|
||||
((symbolp elem)
|
||||
elem)
|
||||
(t
|
||||
"#<byte-compiled lambda>")))
|
||||
|
||||
(defun compare-keybindings (l r)
|
||||
(let* ((regex bind-key-segregation-regexp)
|
||||
(lgroup (and (string-match regex (caar l))
|
||||
(match-string 0 (caar l))))
|
||||
(rgroup (and (string-match regex (caar r))
|
||||
(match-string 0 (caar r))))
|
||||
(lkeymap (cdar l))
|
||||
(rkeymap (cdar r)))
|
||||
(cond
|
||||
((and (null lkeymap) rkeymap)
|
||||
(cons t t))
|
||||
((and lkeymap (null rkeymap))
|
||||
(cons nil t))
|
||||
((and lkeymap rkeymap
|
||||
(not (string= (symbol-name lkeymap) (symbol-name rkeymap))))
|
||||
(cons (string< (symbol-name lkeymap) (symbol-name rkeymap)) t))
|
||||
((and (null lgroup) rgroup)
|
||||
(cons t t))
|
||||
((and lgroup (null rgroup))
|
||||
(cons nil t))
|
||||
((and lgroup rgroup)
|
||||
(if (string= lgroup rgroup)
|
||||
(cons (string< (caar l) (caar r)) nil)
|
||||
(cons (string< lgroup rgroup) t)))
|
||||
(t
|
||||
(cons (string< (caar l) (caar r)) nil)))))
|
||||
|
||||
;;;###autoload
|
||||
(defun describe-personal-keybindings ()
|
||||
"Display all the personal keybindings defined by `bind-key'."
|
||||
(interactive)
|
||||
(with-output-to-temp-buffer "*Personal Keybindings*"
|
||||
(princ (format (concat "Key name%s Command%s Comments\n%s %s "
|
||||
"---------------------\n")
|
||||
(make-string (- (car bind-key-column-widths) 9) ? )
|
||||
(make-string (- (cdr bind-key-column-widths) 8) ? )
|
||||
(make-string (1- (car bind-key-column-widths)) ?-)
|
||||
(make-string (1- (cdr bind-key-column-widths)) ?-)))
|
||||
(let (last-binding)
|
||||
(dolist (binding
|
||||
(setq personal-keybindings
|
||||
(sort personal-keybindings
|
||||
(lambda (l r)
|
||||
(car (compare-keybindings l r))))))
|
||||
|
||||
(if (not (eq (cdar last-binding) (cdar binding)))
|
||||
(princ (format "\n\n%s: %s\n%s\n\n"
|
||||
(cdar binding) (caar binding)
|
||||
(make-string (+ 21 (car bind-key-column-widths)
|
||||
(cdr bind-key-column-widths)) ?-)))
|
||||
(if (and last-binding
|
||||
(cdr (compare-keybindings last-binding binding)))
|
||||
(princ "\n")))
|
||||
|
||||
(let* ((key-name (caar binding))
|
||||
(at-present (lookup-key (or (symbol-value (cdar binding))
|
||||
(current-global-map))
|
||||
(read-kbd-macro key-name)))
|
||||
(command (nth 1 binding))
|
||||
(was-command (nth 2 binding))
|
||||
(command-desc (get-binding-description command))
|
||||
(was-command-desc (and was-command
|
||||
(get-binding-description was-command)))
|
||||
(at-present-desc (get-binding-description at-present))
|
||||
)
|
||||
(let ((line
|
||||
(format
|
||||
(format "%%-%ds%%-%ds%%s\n" (car bind-key-column-widths)
|
||||
(cdr bind-key-column-widths))
|
||||
key-name (format "`%s\'" command-desc)
|
||||
(if (string= command-desc at-present-desc)
|
||||
(if (or (null was-command)
|
||||
(string= command-desc was-command-desc))
|
||||
""
|
||||
(format "was `%s\'" was-command-desc))
|
||||
(format "[now: `%s\']" at-present)))))
|
||||
(princ (if (string-match "[ \t]+\n" line)
|
||||
(replace-match "\n" t t line)
|
||||
line))))
|
||||
|
||||
(setq last-binding binding)))))
|
||||
|
||||
(provide 'bind-key)
|
||||
|
||||
;; Local Variables:
|
||||
;; outline-regexp: ";;;\\(;* [^\s\t\n]\\|###autoload\\)\\|("
|
||||
;; indent-tabs-mode: nil
|
||||
;; End:
|
||||
|
||||
;;; bind-key.el ends here
|
Binary file not shown.
|
@ -0,0 +1,443 @@
|
|||
;;; counsel-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "counsel" "counsel.el" (0 0 0 0))
|
||||
;;; Generated autoloads from counsel.el
|
||||
|
||||
(autoload 'counsel-el "counsel" "\
|
||||
Elisp completion at point.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-cl "counsel" "\
|
||||
Common Lisp completion at point.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-clj "counsel" "\
|
||||
Clojure completion at point.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-company "counsel" "\
|
||||
Complete using `company-candidates'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-irony "counsel" "\
|
||||
Inline C/C++ completion using Irony.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-describe-variable "counsel" "\
|
||||
Forward to `describe-variable'.
|
||||
|
||||
Variables declared using `defcustom' are highlighted according to
|
||||
`ivy-highlight-face'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-describe-function "counsel" "\
|
||||
Forward to `describe-function'.
|
||||
|
||||
Interactive functions (i.e., commands) are highlighted according
|
||||
to `ivy-highlight-face'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-set-variable "counsel" "\
|
||||
Set a variable, with completion.
|
||||
|
||||
When the selected variable is a `defcustom' with the type boolean
|
||||
or radio, offer completion of all possible values.
|
||||
|
||||
Otherwise, offer a variant of `eval-expression', with the initial
|
||||
input corresponding to the chosen variable.
|
||||
|
||||
With a prefix arg, restrict list to variables defined using
|
||||
`defcustom'.
|
||||
|
||||
\(fn SYM)" t nil)
|
||||
|
||||
(autoload 'counsel-apropos "counsel" "\
|
||||
Show all matching symbols.
|
||||
See `apropos' for further information on what is considered
|
||||
a symbol and how to search for them.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-info-lookup-symbol "counsel" "\
|
||||
Forward to `info-lookup-symbol' with ivy completion.
|
||||
|
||||
\(fn SYMBOL &optional MODE)" t nil)
|
||||
|
||||
(autoload 'counsel-M-x "counsel" "\
|
||||
Ivy version of `execute-extended-command'.
|
||||
Optional INITIAL-INPUT is the initial input in the minibuffer.
|
||||
This function integrates with either the `amx' or `smex' package
|
||||
when available, in that order of precedence.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-load-library "counsel" "\
|
||||
Load a selected the Emacs Lisp library.
|
||||
The libraries are offered from `load-path'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-find-library "counsel" "\
|
||||
Visit a selected the Emacs Lisp library.
|
||||
The libraries are offered from `load-path'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-load-theme "counsel" "\
|
||||
Forward to `load-theme'.
|
||||
Usable with `ivy-resume', `ivy-next-line-and-call' and
|
||||
`ivy-previous-line-and-call'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-descbinds "counsel" "\
|
||||
Show a list of all defined keys and their definitions.
|
||||
If non-nil, show only bindings that start with PREFIX.
|
||||
BUFFER defaults to the current one.
|
||||
|
||||
\(fn &optional PREFIX BUFFER)" t nil)
|
||||
|
||||
(autoload 'counsel-faces "counsel" "\
|
||||
Complete faces with preview.
|
||||
Actions are provided by default for describing or customizing the
|
||||
selected face.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-git "counsel" "\
|
||||
Find file in the current Git repository.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-git-grep "counsel" "\
|
||||
Grep for a string in the current git repository.
|
||||
When CMD is a string, use it as a \"git grep\" command.
|
||||
When CMD is non-nil, prompt for a specific \"git grep\" command.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
|
||||
\(fn &optional CMD INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-git-stash "counsel" "\
|
||||
Search through all available git stashes.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-git-change-worktree "counsel" "\
|
||||
Find the file corresponding to the current buffer on a different worktree.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-git-checkout "counsel" "\
|
||||
Call the \"git checkout\" command.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-git-log "counsel" "\
|
||||
Call the \"git log --grep\" shell command.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-find-file "counsel" "\
|
||||
Forward to `find-file'.
|
||||
When INITIAL-INPUT is non-nil, use it in the minibuffer during completion.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-recentf "counsel" "\
|
||||
Find a file on `recentf-list'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-bookmark "counsel" "\
|
||||
Forward to `bookmark-jump' or `bookmark-set' if bookmark doesn't exist.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-bookmarked-directory "counsel" "\
|
||||
Ivy interface for bookmarked directories.
|
||||
|
||||
With a prefix argument, this command creates a new bookmark which points to the
|
||||
current value of `default-directory'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-file-register "counsel" "\
|
||||
Search file in register.
|
||||
|
||||
You cannot use Emacs' normal register commands to create file
|
||||
registers. Instead you must use the `set-register' function like
|
||||
so: `(set-register ?i \"/home/eric/.emacs.d/init.el\")'. Now you
|
||||
can use `C-x r j i' to open that file.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-locate "counsel" "\
|
||||
Call the \"locate\" shell command.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-fzf "counsel" "\
|
||||
Open a file using the fzf shell command.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
INITIAL-DIRECTORY, if non-nil, is used as the root directory for search.
|
||||
FZF-PROMPT, if non-nil, is passed as `ivy-read' prompt argument.
|
||||
|
||||
\(fn &optional INITIAL-INPUT INITIAL-DIRECTORY FZF-PROMPT)" t nil)
|
||||
|
||||
(autoload 'counsel-dpkg "counsel" "\
|
||||
Call the \"dpkg\" shell command.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-rpm "counsel" "\
|
||||
Call the \"rpm\" shell command.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-file-jump "counsel" "\
|
||||
Jump to a file below the current directory.
|
||||
List all files within the current directory or any of its subdirectories.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
INITIAL-DIRECTORY, if non-nil, is used as the root directory for search.
|
||||
|
||||
\(fn &optional INITIAL-INPUT INITIAL-DIRECTORY)" t nil)
|
||||
|
||||
(autoload 'counsel-dired-jump "counsel" "\
|
||||
Jump to a directory (in dired) below the current directory.
|
||||
List all subdirectories within the current directory.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
INITIAL-DIRECTORY, if non-nil, is used as the root directory for search.
|
||||
|
||||
\(fn &optional INITIAL-INPUT INITIAL-DIRECTORY)" t nil)
|
||||
|
||||
(autoload 'counsel-ag "counsel" "\
|
||||
Grep for a string in the current directory using ag.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
INITIAL-DIRECTORY, if non-nil, is used as the root directory for search.
|
||||
EXTRA-AG-ARGS string, if non-nil, is appended to `counsel-ag-base-command'.
|
||||
AG-PROMPT, if non-nil, is passed as `ivy-read' prompt argument.
|
||||
|
||||
\(fn &optional INITIAL-INPUT INITIAL-DIRECTORY EXTRA-AG-ARGS AG-PROMPT)" t nil)
|
||||
|
||||
(autoload 'counsel-pt "counsel" "\
|
||||
Grep for a string in the current directory using pt.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
This uses `counsel-ag' with `counsel-pt-base-command' instead of
|
||||
`counsel-ag-base-command'.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-ack "counsel" "\
|
||||
Grep for a string in the current directory using ack.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
This uses `counsel-ag' with `counsel-ack-base-command' replacing
|
||||
`counsel-ag-base-command'.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-rg "counsel" "\
|
||||
Grep for a string in the current directory using rg.
|
||||
INITIAL-INPUT can be given as the initial minibuffer input.
|
||||
INITIAL-DIRECTORY, if non-nil, is used as the root directory for search.
|
||||
EXTRA-RG-ARGS string, if non-nil, is appended to `counsel-rg-base-command'.
|
||||
RG-PROMPT, if non-nil, is passed as `ivy-read' prompt argument.
|
||||
|
||||
\(fn &optional INITIAL-INPUT INITIAL-DIRECTORY EXTRA-RG-ARGS RG-PROMPT)" t nil)
|
||||
|
||||
(autoload 'counsel-grep "counsel" "\
|
||||
Grep for a string in the file visited by the current buffer.
|
||||
When non-nil, INITIAL-INPUT is the initial search pattern.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-grep-or-swiper "counsel" "\
|
||||
Call `swiper' for small buffers and `counsel-grep' for large ones.
|
||||
When non-nil, INITIAL-INPUT is the initial search pattern.
|
||||
|
||||
\(fn &optional INITIAL-INPUT)" t nil)
|
||||
|
||||
(autoload 'counsel-org-tag "counsel" "\
|
||||
Add or remove tags in `org-mode'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-org-tag-agenda "counsel" "\
|
||||
Set tags for the current agenda item.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(defalias 'counsel-org-goto #'counsel-outline)
|
||||
|
||||
(autoload 'counsel-org-goto-all "counsel" "\
|
||||
Go to a different location in any org file.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-org-file "counsel" "\
|
||||
Browse all attachments for current Org file.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-org-entity "counsel" "\
|
||||
Complete Org entities using Ivy.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-org-capture "counsel" "\
|
||||
Capture something.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-org-agenda-headlines "counsel" "\
|
||||
Choose from headers of `org-mode' files in the agenda.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-tmm "counsel" "\
|
||||
Text-mode emulation of looking and choosing from a menubar.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-yank-pop "counsel" "\
|
||||
Ivy replacement for `yank-pop'.
|
||||
ARG has the same meaning as in `yank-pop', but its default value
|
||||
can be controlled with `counsel-yank-pop-preselect-last', which
|
||||
see. See also `counsel-yank-pop-filter' for how to filter
|
||||
candidates.
|
||||
Note: Duplicate elements of `kill-ring' are always deleted.
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(autoload 'counsel-imenu "counsel" "\
|
||||
Jump to a buffer position indexed by imenu.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-list-processes "counsel" "\
|
||||
Offer completion for `process-list'.
|
||||
The default action deletes the selected process.
|
||||
An extra action allows to switch to the process buffer.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-expression-history "counsel" "\
|
||||
Select an element of `read-expression-history'.
|
||||
And insert it into the minibuffer. Useful during `eval-expression'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-shell-command-history "counsel" "\
|
||||
Browse shell command history.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-minibuffer-history "counsel" "\
|
||||
Browse minibuffer history.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-esh-history "counsel" "\
|
||||
Browse Eshell history.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-shell-history "counsel" "\
|
||||
Browse shell history.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-outline "counsel" "\
|
||||
Jump to an outline heading with completion.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-ibuffer "counsel" "\
|
||||
Use ibuffer to switch to another buffer.
|
||||
NAME specifies the name of the buffer (defaults to \"*Ibuffer*\").
|
||||
|
||||
\(fn &optional NAME)" t nil)
|
||||
|
||||
(autoload 'counsel-switch-to-shell-buffer "counsel" "\
|
||||
Switch to a shell buffer, or create one.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-unicode-char "counsel" "\
|
||||
Insert COUNT copies of a Unicode character at point.
|
||||
COUNT defaults to 1.
|
||||
|
||||
\(fn &optional COUNT)" t nil)
|
||||
|
||||
(autoload 'counsel-colors-emacs "counsel" "\
|
||||
Show a list of all supported colors for a particular frame.
|
||||
|
||||
You can insert or kill the name or hexadecimal RGB value of the
|
||||
selected color.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-colors-web "counsel" "\
|
||||
Show a list of all W3C web colors for use in CSS.
|
||||
|
||||
You can insert or kill the name or hexadecimal RGB value of the
|
||||
selected color.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-rhythmbox "counsel" "\
|
||||
Choose a song from the Rhythmbox library to play or enqueue.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'counsel-linux-app "counsel" "\
|
||||
Launch a Linux desktop application, similar to Alt-<F2>.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(defvar counsel-mode nil "\
|
||||
Non-nil if Counsel mode is enabled.
|
||||
See the `counsel-mode' command
|
||||
for a description of this minor mode.
|
||||
Setting this variable directly does not take effect;
|
||||
either customize it (see the info node `Easy Customization')
|
||||
or call the function `counsel-mode'.")
|
||||
|
||||
(custom-autoload 'counsel-mode "counsel" nil)
|
||||
|
||||
(autoload 'counsel-mode "counsel" "\
|
||||
Toggle Counsel mode on or off.
|
||||
Turn Counsel mode on if ARG is positive, off otherwise. Counsel
|
||||
mode remaps built-in emacs functions that have counsel
|
||||
replacements.
|
||||
|
||||
Local bindings (`counsel-mode-map'):
|
||||
\\{counsel-mode-map}
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "counsel" '("counsel-" "tmm-km-list" "ivy-function-called-at-point")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; counsel-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "counsel" "20180820.1500" "Various completion functions using Ivy" '((emacs "24.3") (swiper "0.9.0")) :commit "02537c95baf183b6a42e142a85742d589c692aa2" :keywords '("convenience" "matching" "tools") :authors '(("Oleh Krehel" . "ohwoeowho@gmail.com")) :maintainer '("Oleh Krehel" . "ohwoeowho@gmail.com") :url "https://github.com/abo-abo/swiper")
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
|
@ -0,0 +1,22 @@
|
|||
;;; dash-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "dash" "dash.el" (0 0 0 0))
|
||||
;;; Generated autoloads from dash.el
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "dash" '("dash-" "-keep" "-butlast" "-non" "-only-some" "-zip" "-e" "->" "-a" "-gr" "-when-let" "-d" "-l" "-s" "-p" "-r" "-m" "-i" "-f" "-u" "-value-to-list" "-t" "--" "-c" "!cons" "!cdr")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; dash-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "dash" "20180726.1213" "A modern list library for Emacs" 'nil :commit "453c775e64e9ca61964b785b6d58ec00e4fec6d0" :keywords '("lists") :authors '(("Magnar Sveen" . "magnars@gmail.com")) :maintainer '("Magnar Sveen" . "magnars@gmail.com"))
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -0,0 +1,82 @@
|
|||
;;; htmlize-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "htmlize" "htmlize.el" (0 0 0 0))
|
||||
;;; Generated autoloads from htmlize.el
|
||||
|
||||
(autoload 'htmlize-buffer "htmlize" "\
|
||||
Convert BUFFER to HTML, preserving colors and decorations.
|
||||
|
||||
The generated HTML is available in a new buffer, which is returned.
|
||||
When invoked interactively, the new buffer is selected in the current
|
||||
window. The title of the generated document will be set to the buffer's
|
||||
file name or, if that's not available, to the buffer's name.
|
||||
|
||||
Note that htmlize doesn't fontify your buffers, it only uses the
|
||||
decorations that are already present. If you don't set up font-lock or
|
||||
something else to fontify your buffers, the resulting HTML will be
|
||||
plain. Likewise, if you don't like the choice of colors, fix the mode
|
||||
that created them, or simply alter the faces it uses.
|
||||
|
||||
\(fn &optional BUFFER)" t nil)
|
||||
|
||||
(autoload 'htmlize-region "htmlize" "\
|
||||
Convert the region to HTML, preserving colors and decorations.
|
||||
See `htmlize-buffer' for details.
|
||||
|
||||
\(fn BEG END)" t nil)
|
||||
|
||||
(autoload 'htmlize-file "htmlize" "\
|
||||
Load FILE, fontify it, convert it to HTML, and save the result.
|
||||
|
||||
Contents of FILE are inserted into a temporary buffer, whose major mode
|
||||
is set with `normal-mode' as appropriate for the file type. The buffer
|
||||
is subsequently fontified with `font-lock' and converted to HTML. Note
|
||||
that, unlike `htmlize-buffer', this function explicitly turns on
|
||||
font-lock. If a form of highlighting other than font-lock is desired,
|
||||
please use `htmlize-buffer' directly on buffers so highlighted.
|
||||
|
||||
Buffers currently visiting FILE are unaffected by this function. The
|
||||
function does not change current buffer or move the point.
|
||||
|
||||
If TARGET is specified and names a directory, the resulting file will be
|
||||
saved there instead of to FILE's directory. If TARGET is specified and
|
||||
does not name a directory, it will be used as output file name.
|
||||
|
||||
\(fn FILE &optional TARGET)" t nil)
|
||||
|
||||
(autoload 'htmlize-many-files "htmlize" "\
|
||||
Convert FILES to HTML and save the corresponding HTML versions.
|
||||
|
||||
FILES should be a list of file names to convert. This function calls
|
||||
`htmlize-file' on each file; see that function for details. When
|
||||
invoked interactively, you are prompted for a list of files to convert,
|
||||
terminated with RET.
|
||||
|
||||
If TARGET-DIRECTORY is specified, the HTML files will be saved to that
|
||||
directory. Normally, each HTML file is saved to the directory of the
|
||||
corresponding source file.
|
||||
|
||||
\(fn FILES &optional TARGET-DIRECTORY)" t nil)
|
||||
|
||||
(autoload 'htmlize-many-files-dired "htmlize" "\
|
||||
HTMLize dired-marked files.
|
||||
|
||||
\(fn ARG &optional TARGET-DIRECTORY)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "htmlize" '("htmlize-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; htmlize-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "htmlize" "1.53" "Convert buffer text and decorations to HTML." 'nil :commit "1bc2f1b0feb852fa5a289a1d72646b16ac84adf1" :keywords '("hypermedia" "extensions") :authors '(("Hrvoje Niksic" . "hniksic@gmail.com")) :maintainer '("Hrvoje Niksic" . "hniksic@gmail.com"))
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
|
@ -0,0 +1,53 @@
|
|||
This package converts the buffer text and the associated
|
||||
decorations to HTML. Mail to <hniksic@gmail.com> to discuss
|
||||
features and additions. All suggestions are more than welcome.
|
||||
|
||||
To use it, just switch to the buffer you want HTML-ized and type
|
||||
`M-x htmlize-buffer'. You will be switched to a new buffer that
|
||||
contains the resulting HTML code. You can edit and inspect this
|
||||
buffer, or you can just save it with C-x C-w. `M-x htmlize-file'
|
||||
will find a file, fontify it, and save the HTML version in
|
||||
FILE.html, without any additional intervention. `M-x
|
||||
htmlize-many-files' allows you to htmlize any number of files in
|
||||
the same manner. `M-x htmlize-many-files-dired' does the same for
|
||||
files marked in a dired buffer.
|
||||
|
||||
htmlize supports three types of HTML output, selected by setting
|
||||
`htmlize-output-type': `css', `inline-css', and `font'. In `css'
|
||||
mode, htmlize uses cascading style sheets to specify colors; it
|
||||
generates classes that correspond to Emacs faces and uses <span
|
||||
class=FACE>...</span> to color parts of text. In this mode, the
|
||||
produced HTML is valid under the 4.01 strict DTD, as confirmed by
|
||||
the W3C validator. `inline-css' is like `css', except the CSS is
|
||||
put directly in the STYLE attribute of the SPAN element, making it
|
||||
possible to paste the generated HTML into existing HTML documents.
|
||||
In `font' mode, htmlize uses <font color="...">...</font> to
|
||||
colorize HTML, which is not standard-compliant, but works better in
|
||||
older browsers. `css' mode is the default.
|
||||
|
||||
You can also use htmlize from your Emacs Lisp code. When called
|
||||
non-interactively, `htmlize-buffer' and `htmlize-region' will
|
||||
return the resulting HTML buffer, but will not change current
|
||||
buffer or move the point. htmlize will do its best to work on
|
||||
non-windowing Emacs sessions but the result will be limited to
|
||||
colors supported by the terminal.
|
||||
|
||||
htmlize aims for compatibility with older Emacs versions. Please
|
||||
let me know if it doesn't work on the version of GNU Emacs that you
|
||||
are using. The package relies on the presence of CL extensions;
|
||||
please don't try to remove that dependency. I see no practical
|
||||
problems with using the full power of the CL extensions, except
|
||||
that one might learn to like them too much.
|
||||
|
||||
The latest version is available at:
|
||||
|
||||
<https://github.com/hniksic/emacs-htmlize>
|
||||
|
||||
|
||||
Thanks go to the many people who have sent reports and contributed
|
||||
comments, suggestions, and fixes. They include Ron Gut, Bob
|
||||
Weiner, Toni Drabik, Peter Breton, Ville Skytta, Thomas Vogels,
|
||||
Juri Linkov, Maciek Pasternacki, and many others.
|
||||
|
||||
User quotes: "You sir, are a sick, sick, _sick_ person. :)"
|
||||
-- Bill Perry, author of Emacs/W3
|
|
@ -0,0 +1,124 @@
|
|||
;;; colir.el --- Color blending library -*- lexical-binding: t -*-
|
||||
|
||||
;; Copyright (C) 2015-2018 Free Software Foundation, Inc.
|
||||
|
||||
;; Author: Oleh Krehel <ohwoeowho@gmail.com>
|
||||
|
||||
;; This file is part of GNU Emacs.
|
||||
|
||||
;; This file is free software; you can redistribute it and/or modify
|
||||
;; it under the terms of the GNU General Public License as published by
|
||||
;; the Free Software Foundation; either version 3, or (at your option)
|
||||
;; any later version.
|
||||
|
||||
;; This program is distributed in the hope that it will be useful,
|
||||
;; but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
;; GNU General Public License for more details.
|
||||
|
||||
;; For a full copy of the GNU General Public License
|
||||
;; see <http://www.gnu.org/licenses/>.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; This package solves the problem of adding a face with a background
|
||||
;; to text which may already have a background. In all conflicting
|
||||
;; areas, instead of choosing either the original or the new
|
||||
;; background face, their blended sum is used.
|
||||
;;
|
||||
;; The blend mode functions are taken from URL
|
||||
;; `http://en.wikipedia.org/wiki/Blend_modes'.
|
||||
|
||||
;;; Code:
|
||||
|
||||
(require 'cl-lib)
|
||||
(require 'color)
|
||||
|
||||
(defcustom colir-compose-method #'colir-compose-alpha
|
||||
"Select a method to compose two color channels."
|
||||
:group 'ivy
|
||||
:type '(radio
|
||||
(function-item colir-compose-alpha)
|
||||
(function-item colir-compose-overlay)
|
||||
(function-item colir-compose-soft-light)))
|
||||
|
||||
(defun colir-compose-soft-light (a b)
|
||||
"Compose A and B channels."
|
||||
(if (< b 0.5)
|
||||
(+ (* 2 a b) (* a a (- 1 b b)))
|
||||
(+ (* 2 a (- 1 b)) (* (sqrt a) (- (* 2 b) 1)))))
|
||||
|
||||
(defun colir-compose-overlay (a b)
|
||||
"Compose A and B channels."
|
||||
(if (< a 0.5)
|
||||
(* 2 a b)
|
||||
(- 1 (* 2 (- 1 a) (- 1 b)))))
|
||||
|
||||
(defun colir-compose-alpha (a b &optional alpha gamma)
|
||||
"Compose A and B channels.
|
||||
Optional argument ALPHA is a number between 0.0 and 1.0 which corresponds
|
||||
to the influence of A on the result. Default value is 0.5.
|
||||
Optional argument GAMMA is used for gamma correction. Default value is 2.2."
|
||||
(setq alpha (or alpha 0.5))
|
||||
(setq gamma (or gamma 2.2))
|
||||
(+ (* (expt a gamma) alpha) (* (expt b gamma) (- 1 alpha))))
|
||||
|
||||
(defun colir-blend (c1 c2)
|
||||
"Blend the two colors C1 and C2 using `colir-compose-method'.
|
||||
C1 and C2 are triples of floats in [0.0 1.0] range."
|
||||
(apply #'color-rgb-to-hex
|
||||
(cl-mapcar
|
||||
(if (eq (frame-parameter nil 'background-mode) 'dark)
|
||||
;; this method works nicely for dark themes
|
||||
'colir-compose-soft-light
|
||||
colir-compose-method)
|
||||
c1 c2)))
|
||||
|
||||
(defun colir-color-parse (color)
|
||||
"Convert string COLOR to triple of floats in [0.0 1.0]."
|
||||
(if (string-match "#\\([[:xdigit:]]\\{2\\}\\)\\([[:xdigit:]]\\{2\\}\\)\\([[:xdigit:]]\\{2\\}\\)" color)
|
||||
(mapcar (lambda (v) (/ (string-to-number v 16) 255.0))
|
||||
(list (match-string 1 color) (match-string 2 color) (match-string 3 color)))
|
||||
;; does not work properly in terminal (maps color to nearest color
|
||||
;; from available color palette).
|
||||
(color-name-to-rgb color)))
|
||||
|
||||
(defun colir--blend-background (start next prevn face object)
|
||||
(let ((background-prev (face-background prevn)))
|
||||
(progn
|
||||
(put-text-property
|
||||
start next 'face
|
||||
(if background-prev
|
||||
(cons `(background-color
|
||||
. ,(colir-blend
|
||||
(colir-color-parse background-prev)
|
||||
(colir-color-parse (face-background face nil t))))
|
||||
prevn)
|
||||
(list face prevn))
|
||||
object))))
|
||||
|
||||
(defun colir-blend-face-background (start end face &optional object)
|
||||
"Append to the face property of the text from START to END the face FACE.
|
||||
When the text already has a face with a non-plain background,
|
||||
blend it with the background of FACE.
|
||||
Optional argument OBJECT is the string or buffer containing the text.
|
||||
See also `font-lock-append-text-property'."
|
||||
(let (next prev prevn)
|
||||
(while (/= start end)
|
||||
(setq next (next-single-property-change start 'face object end))
|
||||
(setq prev (get-text-property start 'face object))
|
||||
(setq prevn (if (listp prev)
|
||||
(cl-find-if #'atom prev)
|
||||
prev))
|
||||
(cond
|
||||
((or (keywordp (car-safe prev)) (consp (car-safe prev)))
|
||||
(put-text-property start next 'face (cons face prev) object))
|
||||
((facep prevn)
|
||||
(colir--blend-background start next prevn face object))
|
||||
(t
|
||||
(put-text-property start next 'face face object)))
|
||||
(setq start next))))
|
||||
|
||||
(provide 'colir)
|
||||
|
||||
;;; colir.el ends here
|
Binary file not shown.
|
@ -0,0 +1,18 @@
|
|||
This is the file .../info/dir, which contains the
|
||||
topmost node of the Info hierarchy, called (dir)Top.
|
||||
The first time you invoke Info you start off looking at this node.
|
||||
|
||||
File: dir, Node: Top This is the top of the INFO tree
|
||||
|
||||
This (the Directory node) gives a menu of major topics.
|
||||
Typing "q" exits, "H" lists all Info commands, "d" returns here,
|
||||
"h" gives a primer for first-timers,
|
||||
"mEmacs<Return>" visits the Emacs manual, etc.
|
||||
|
||||
In Emacs, you can click mouse button 2 on a menu item or cross reference
|
||||
to select it.
|
||||
|
||||
* Menu:
|
||||
|
||||
Emacs
|
||||
* Ivy: (ivy). Using Ivy for completion.
|
|
@ -0,0 +1,154 @@
|
|||
;;; ivy-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "colir" "colir.el" (0 0 0 0))
|
||||
;;; Generated autoloads from colir.el
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "colir" '("colir-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;;;### (autoloads nil "ivy" "ivy.el" (0 0 0 0))
|
||||
;;; Generated autoloads from ivy.el
|
||||
|
||||
(autoload 'ivy-resume "ivy" "\
|
||||
Resume the last completion session.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'ivy-read "ivy" "\
|
||||
Read a string in the minibuffer, with completion.
|
||||
|
||||
PROMPT is a format string, normally ending in a colon and a
|
||||
space; %d anywhere in the string is replaced by the current
|
||||
number of matching candidates. For the literal % character,
|
||||
escape it with %%. See also `ivy-count-format'.
|
||||
|
||||
COLLECTION is either a list of strings, a function, an alist, or
|
||||
a hash table.
|
||||
|
||||
PREDICATE is applied to filter out the COLLECTION immediately.
|
||||
This argument is for `completing-read' compat.
|
||||
|
||||
When REQUIRE-MATCH is non-nil, only members of COLLECTION can be
|
||||
selected, i.e. custom text.
|
||||
|
||||
If INITIAL-INPUT is not nil, then insert that input in the
|
||||
minibuffer initially.
|
||||
|
||||
HISTORY is a name of a variable to hold the completion session
|
||||
history.
|
||||
|
||||
KEYMAP is composed with `ivy-minibuffer-map'.
|
||||
|
||||
If PRESELECT is not nil, then select the corresponding candidate
|
||||
out of the ones that match the INITIAL-INPUT.
|
||||
|
||||
DEF is for compatibility with `completing-read'.
|
||||
|
||||
UPDATE-FN is called each time the current candidate(s) is changed.
|
||||
|
||||
When SORT is t, use `ivy-sort-functions-alist' for sorting.
|
||||
|
||||
ACTION is a lambda function to call after selecting a result. It
|
||||
takes a single string argument.
|
||||
|
||||
UNWIND is a lambda function to call before exiting.
|
||||
|
||||
RE-BUILDER is a lambda function to call to transform text into a
|
||||
regex pattern.
|
||||
|
||||
MATCHER is to override matching.
|
||||
|
||||
DYNAMIC-COLLECTION is a boolean to specify if the list of
|
||||
candidates is updated after each input by calling COLLECTION.
|
||||
|
||||
CALLER is a symbol to uniquely identify the caller to `ivy-read'.
|
||||
It is used, along with COLLECTION, to determine which
|
||||
customizations apply to the current completion session.
|
||||
|
||||
\(fn PROMPT COLLECTION &key PREDICATE REQUIRE-MATCH INITIAL-INPUT HISTORY PRESELECT DEF KEYMAP UPDATE-FN SORT ACTION UNWIND RE-BUILDER MATCHER DYNAMIC-COLLECTION CALLER)" nil nil)
|
||||
|
||||
(autoload 'ivy-completing-read "ivy" "\
|
||||
Read a string in the minibuffer, with completion.
|
||||
|
||||
This interface conforms to `completing-read' and can be used for
|
||||
`completing-read-function'.
|
||||
|
||||
PROMPT is a string that normally ends in a colon and a space.
|
||||
COLLECTION is either a list of strings, an alist, an obarray, or a hash table.
|
||||
PREDICATE limits completion to a subset of COLLECTION.
|
||||
REQUIRE-MATCH is a boolean value. See `completing-read'.
|
||||
INITIAL-INPUT is a string inserted into the minibuffer initially.
|
||||
HISTORY is a list of previously selected inputs.
|
||||
DEF is the default value.
|
||||
INHERIT-INPUT-METHOD is currently ignored.
|
||||
|
||||
\(fn PROMPT COLLECTION &optional PREDICATE REQUIRE-MATCH INITIAL-INPUT HISTORY DEF INHERIT-INPUT-METHOD)" nil nil)
|
||||
|
||||
(defvar ivy-mode nil "\
|
||||
Non-nil if Ivy mode is enabled.
|
||||
See the `ivy-mode' command
|
||||
for a description of this minor mode.
|
||||
Setting this variable directly does not take effect;
|
||||
either customize it (see the info node `Easy Customization')
|
||||
or call the function `ivy-mode'.")
|
||||
|
||||
(custom-autoload 'ivy-mode "ivy" nil)
|
||||
|
||||
(autoload 'ivy-mode "ivy" "\
|
||||
Toggle Ivy mode on or off.
|
||||
Turn Ivy mode on if ARG is positive, off otherwise.
|
||||
Turning on Ivy mode sets `completing-read-function' to
|
||||
`ivy-completing-read'.
|
||||
|
||||
Global bindings:
|
||||
\\{ivy-mode-map}
|
||||
|
||||
Minibuffer bindings:
|
||||
\\{ivy-minibuffer-map}
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(autoload 'ivy-switch-buffer "ivy" "\
|
||||
Switch to another buffer.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'ivy-switch-view "ivy" "\
|
||||
Switch to one of the window views stored by `ivy-push-view'.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'ivy-switch-buffer-other-window "ivy" "\
|
||||
Switch to another buffer in another window.
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "ivy" '("ivy-" "with-ivy-window")))
|
||||
|
||||
;;;***
|
||||
|
||||
;;;### (autoloads nil "ivy-overlay" "ivy-overlay.el" (0 0 0 0))
|
||||
;;; Generated autoloads from ivy-overlay.el
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "ivy-overlay" '("ivy-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;;;### (autoloads nil nil ("ivy-pkg.el") (0 0 0 0))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; ivy-autoloads.el ends here
|
|
@ -0,0 +1,138 @@
|
|||
* Ivy Generic Help
|
||||
|
||||
=ivy= is an Emacs incremental completion framework.
|
||||
|
||||
- Narrow the list by typing some pattern,
|
||||
- Multiple patterns are allowed by separating with a space,
|
||||
- Select with ~C-n~ and ~C-p~, choose with ~RET~.
|
||||
|
||||
** Help
|
||||
|
||||
- ~C-h m~ :: Pop to this generic help buffer.
|
||||
|
||||
** Basic Operations
|
||||
*** Key bindings for navigation
|
||||
|
||||
- ~C-n~ (=ivy-next-line=) :: next candidate.
|
||||
- ~C-p~ (=ivy-previous-line=) :: previous candidate.
|
||||
- ~C-v~ (=ivy-scroll-up-command=) :: next page.
|
||||
- ~M-v~ (=ivy-scroll-down-command=) :: previous page.
|
||||
- ~M-<~ (=ivy-beginning-of-buffer=) :: first candidate.
|
||||
- ~M->~ (=ivy-end-of-buffer=) :: last candidate.
|
||||
|
||||
*** Key bindings for single selection
|
||||
|
||||
When selecting a candidate, an action is called on it. You can think
|
||||
of an action as a function that takes the selected candidate as an
|
||||
argument and does something with it.
|
||||
|
||||
Ivy can offer several actions from which to choose. This can be
|
||||
independently composed with whether you want to end completion when
|
||||
the action is called. Depending on this, the short term is either
|
||||
"calling an action" or "exiting with action".
|
||||
|
||||
~C-m~ or ~RET~ (=ivy-done=) - exit with the current action.
|
||||
|
||||
~M-o~ (=ivy-dispatching-done=) - select an action and exit with it.
|
||||
|
||||
~C-j~ (=ivy-alt-done=) - when the candidate is a directory, enter
|
||||
it. Otherwise, exit with the current action.
|
||||
|
||||
~TAB~ (=ivy-partial-or-done=) - attempt partial completion, extending
|
||||
the current input as much as possible. ~TAB TAB~ is the same as ~C-j~.
|
||||
|
||||
~C-M-j~ (=ivy-immediate-done=) - exit with the current action, calling
|
||||
it on the /current input/ instead of the current candidate. This is
|
||||
useful especially when creating new files or directories - often the
|
||||
input will match an existing file, which you don't want to select.
|
||||
|
||||
~C-'~ (=ivy-avy=) - select a candidate from the current page with avy
|
||||
and exit with the current action.
|
||||
|
||||
** Advanced Operations
|
||||
*** Key bindings for multiple selection
|
||||
|
||||
For repeatedly applying multiple actions or acting on multiple
|
||||
candidates, Ivy does not close the minibuffer between commands. It
|
||||
keeps the minibuffer open for applying subsequent actions.
|
||||
|
||||
Adding an extra meta key to the normal key chord invokes the special
|
||||
version of the regular commands that enables applying multiple
|
||||
actions.
|
||||
|
||||
~C-M-m~ (=ivy-call=) is the non-exiting version of ~C-m~ (=ivy-done=).
|
||||
|
||||
~C-M-n~ (=ivy-next-line-and-call=) combines ~C-n~ and ~C-M-m~.
|
||||
|
||||
~C-M-p~ (=ivy-previous-line-and-call=) combines ~C-p~ and ~C-M-m~.
|
||||
|
||||
~C-M-o~ (=ivy-dispatching-call=) is a non-exiting version of ~M-o~
|
||||
(=ivy-dispatching-done=).
|
||||
|
||||
*** Key bindings that alter the minibuffer input
|
||||
|
||||
~M-n~ (=ivy-next-history-element=) select the next history element or
|
||||
symbol/URL at point.
|
||||
|
||||
~M-p~ (=ivy-previous-history-element=) select the previous history
|
||||
element.
|
||||
|
||||
~C-r~ (=ivy-reverse-i-search=) start a recursive completion session to
|
||||
select a history element.
|
||||
|
||||
~M-i~ (=ivy-insert-current=) insert the current candidate into the
|
||||
minibuffer. Useful for copying and renaming files, for example: ~M-i~
|
||||
to insert the original file name string, edit it, and then ~C-m~ to
|
||||
complete the renaming.
|
||||
|
||||
~M-j~ (=ivy-yank-word=) insert the sub-word at point into the
|
||||
minibuffer.
|
||||
|
||||
~S-SPC~ (=ivy-restrict-to-matches=) deletes the current input, and
|
||||
resets the candidates list to the currently restricted matches. This
|
||||
is how Ivy provides narrowing in successive tiers.
|
||||
|
||||
*** Other key bindings
|
||||
|
||||
~M-w~ (=ivy-kill-ring-save=) copies the selected candidates to the
|
||||
kill ring; when the region is active, copies the active region.
|
||||
|
||||
*** Saving the current completion session to a buffer
|
||||
|
||||
~C-c C-o~ (=ivy-occur=) saves the current candidates to a new buffer;
|
||||
the list is active in the new buffer.
|
||||
|
||||
~RET~ or ~mouse-1~ in the new buffer calls the appropriate action on
|
||||
the selected candidate.
|
||||
|
||||
Ivy has no limit on the number of active buffers like these.
|
||||
|
||||
Ivy takes care of making these buffer names unique. It applies
|
||||
descriptive names, for example: =*ivy-occur counsel-describe-variable
|
||||
"function$*=.
|
||||
|
||||
*** Global key bindings
|
||||
|
||||
=ivy-resume= recalls the state of the completion session just before
|
||||
its last exit. Useful after an accidental ~C-m~ (=ivy-done=).
|
||||
Recommended global binding: ~C-c C-r~.
|
||||
|
||||
*** Hydra in the minibuffer
|
||||
|
||||
~C-o~ (=hydra-ivy/body=) invokes Hydra menus with key shortcuts.
|
||||
|
||||
When in Hydra, ~C-o~ or ~i~ resumes editing.
|
||||
|
||||
Hydra reduces key strokes, for example: ~C-n C-n C-n C-n~ is ~C-o
|
||||
jjjj~ in Hydra. Besides certain shorter keys, Hydra shows useful info
|
||||
such as case folding and the current action.
|
||||
|
||||
Additionally, here are the keys that are otherwise not bound:
|
||||
|
||||
- ~<~ and ~>~ adjust the height of the minibuffer.
|
||||
- ~c~ (=ivy-toggle-calling=) - toggle calling the current action each
|
||||
time a different candidate is selected.
|
||||
- ~m~ (=ivy-rotate-preferred-builders=) - rotate regex matcher.
|
||||
- ~w~ and ~s~ scroll the actions list.
|
||||
|
||||
Minibuffer editing is disabled when Hydra is active.
|
|
@ -0,0 +1,139 @@
|
|||
;;; ivy-overlay.el --- Overlay display functions for Ivy -*- lexical-binding: t -*-
|
||||
|
||||
;; Copyright (C) 2016-2018 Free Software Foundation, Inc.
|
||||
|
||||
;; Author: Oleh Krehel <ohwoeowho@gmail.com>
|
||||
;; Keywords: convenience
|
||||
|
||||
;; This program is free software; you can redistribute it and/or modify
|
||||
;; it under the terms of the GNU General Public License as published by
|
||||
;; the Free Software Foundation, either version 3 of the License, or
|
||||
;; (at your option) any later version.
|
||||
|
||||
;; This program is distributed in the hope that it will be useful,
|
||||
;; but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
;; GNU General Public License for more details.
|
||||
|
||||
;; You should have received a copy of the GNU General Public License
|
||||
;; along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; This package allows to setup Ivy's completion at point to actually
|
||||
;; show the candidates and the input at point, instead of in the
|
||||
;; minibuffer.
|
||||
|
||||
;;; Code:
|
||||
|
||||
(defface ivy-cursor
|
||||
'((t (:background "black"
|
||||
:foreground "white")))
|
||||
"Cursor face for inline completion."
|
||||
:group 'ivy-faces)
|
||||
|
||||
(defvar ivy--old-cursor-type t)
|
||||
|
||||
(defvar ivy-overlay-at nil
|
||||
"Overlay variable for `ivy-display-function-overlay'.")
|
||||
|
||||
(declare-function ivy--truncate-string "ivy")
|
||||
|
||||
(defun ivy-left-pad (str width)
|
||||
"Return STR, but with each line indented by WIDTH spaces.
|
||||
Lines are truncated to the window width."
|
||||
(let ((padding (make-string width ?\s)))
|
||||
(mapconcat (lambda (x)
|
||||
(ivy--truncate-string (concat padding x)
|
||||
(1- (+ (window-width)
|
||||
(window-hscroll)))))
|
||||
(split-string str "\n")
|
||||
"\n")))
|
||||
|
||||
(defun ivy-overlay-cleanup ()
|
||||
"Clean up after `ivy-display-function-overlay'."
|
||||
(when (overlayp ivy-overlay-at)
|
||||
(delete-overlay ivy-overlay-at)
|
||||
(setq ivy-overlay-at nil))
|
||||
(unless cursor-type
|
||||
(setq cursor-type ivy--old-cursor-type))
|
||||
(when (fboundp 'company-abort)
|
||||
(company-abort)))
|
||||
|
||||
(defun ivy-overlay-show-after (str)
|
||||
"Display STR in an overlay at point.
|
||||
|
||||
First, fill each line of STR with spaces to the current column.
|
||||
Then attach the overlay the character before point."
|
||||
(if ivy-overlay-at
|
||||
(progn
|
||||
(move-overlay ivy-overlay-at (1- (point)) (line-end-position))
|
||||
(overlay-put ivy-overlay-at 'invisible nil))
|
||||
(setq ivy-overlay-at (make-overlay (1- (point)) (line-end-position)))
|
||||
(overlay-put ivy-overlay-at 'priority 9999))
|
||||
(overlay-put ivy-overlay-at 'display str)
|
||||
(overlay-put ivy-overlay-at 'after-string ""))
|
||||
|
||||
(declare-function org-current-level "org")
|
||||
(defvar org-indent-indentation-per-level)
|
||||
(defvar ivy-height)
|
||||
(defvar ivy-last)
|
||||
(defvar ivy-text)
|
||||
(defvar ivy-completion-beg)
|
||||
(declare-function ivy-add-face-text-property "ivy")
|
||||
(declare-function ivy--get-window "ivy")
|
||||
(declare-function ivy-state-current "ivy")
|
||||
(declare-function ivy-state-window "ivy")
|
||||
|
||||
(defun ivy-overlay-impossible-p (str)
|
||||
(or
|
||||
(<= (window-height) (+ ivy-height 3))
|
||||
(= (point) (point-min))
|
||||
(< (- (+ (window-width) (window-hscroll)) (current-column))
|
||||
(apply #'max
|
||||
(mapcar #'string-width
|
||||
(split-string str "\n"))))))
|
||||
|
||||
(defun ivy-display-function-overlay (str)
|
||||
"Called from the minibuffer, display STR in an overlay in Ivy window.
|
||||
Hide the minibuffer contents and cursor."
|
||||
(if (save-selected-window
|
||||
(select-window (ivy-state-window ivy-last))
|
||||
(ivy-overlay-impossible-p str))
|
||||
(let ((buffer-undo-list t))
|
||||
(save-excursion
|
||||
(forward-line 1)
|
||||
(insert str)))
|
||||
(ivy-add-face-text-property (minibuffer-prompt-end) (point-max)
|
||||
'(:foreground "white"))
|
||||
(let ((cursor-pos (1+ (- (point) (minibuffer-prompt-end))))
|
||||
(ivy-window (ivy--get-window ivy-last)))
|
||||
(setq cursor-type nil)
|
||||
(with-selected-window ivy-window
|
||||
(when cursor-type
|
||||
(setq ivy--old-cursor-type cursor-type))
|
||||
(setq cursor-type nil)
|
||||
(let ((overlay-str
|
||||
(concat
|
||||
(buffer-substring (max 1 (1- (point))) (point))
|
||||
ivy-text
|
||||
(if (eolp)
|
||||
" "
|
||||
"")
|
||||
(buffer-substring (point) (line-end-position))
|
||||
(ivy-left-pad
|
||||
str
|
||||
(+ (if (and (eq major-mode 'org-mode)
|
||||
(bound-and-true-p org-indent-mode))
|
||||
(* org-indent-indentation-per-level (org-current-level))
|
||||
0)
|
||||
(save-excursion
|
||||
(goto-char ivy-completion-beg)
|
||||
(current-column)))))))
|
||||
(ivy-add-face-text-property cursor-pos (1+ cursor-pos)
|
||||
'ivy-cursor overlay-str t)
|
||||
(ivy-overlay-show-after overlay-str))))))
|
||||
|
||||
(provide 'ivy-overlay)
|
||||
|
||||
;;; ivy-overlay.el ends here
|
Binary file not shown.
|
@ -0,0 +1,12 @@
|
|||
(define-package "ivy" "20180822.1824" "Incremental Vertical completYon"
|
||||
'((emacs "24.1"))
|
||||
:keywords
|
||||
'("matching")
|
||||
:authors
|
||||
'(("Oleh Krehel" . "ohwoeowho@gmail.com"))
|
||||
:maintainer
|
||||
'("Oleh Krehel" . "ohwoeowho@gmail.com")
|
||||
:url "https://github.com/abo-abo/swiper")
|
||||
;; Local Variables:
|
||||
;; no-byte-compile: t
|
||||
;; End:
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,51 @@
|
|||
;;; lorem-ipsum-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "lorem-ipsum" "lorem-ipsum.el" (0 0 0 0))
|
||||
;;; Generated autoloads from lorem-ipsum.el
|
||||
|
||||
(autoload 'lorem-ipsum-use-default-bindings "lorem-ipsum" "\
|
||||
Use the default keybindings of C-c l [spl].
|
||||
|
||||
\(fn)" t nil)
|
||||
|
||||
(autoload 'lorem-ipsum-insert-paragraphs "lorem-ipsum" "\
|
||||
Insert lorem ipsum paragraphs into buffer.
|
||||
If NUM is non-nil, insert NUM paragraphs.
|
||||
|
||||
\(fn &optional NUM)" t nil)
|
||||
|
||||
(defalias 'Lorem-ipsum-insert-paragraphs 'lorem-ipsum-insert-paragraphs)
|
||||
|
||||
(autoload 'lorem-ipsum-insert-sentences "lorem-ipsum" "\
|
||||
Insert lorem ipsum sentences into buffer.
|
||||
If NUM is non-nil, insert NUM sentences.
|
||||
|
||||
\(fn &optional NUM)" t nil)
|
||||
|
||||
(defalias 'Lorem-ipsum-insert-sentences 'lorem-ipsum-insert-sentences)
|
||||
|
||||
(autoload 'lorem-ipsum-insert-list "lorem-ipsum" "\
|
||||
Insert lorem ipsum list items into buffer.
|
||||
If NUM is non-nil, insert NUM list items.
|
||||
|
||||
\(fn &optional NUM)" t nil)
|
||||
|
||||
(defalias 'Lorem-ipsum-insert-list 'lorem-ipsum-insert-list)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "lorem-ipsum" '("lorem-ipsum-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; lorem-ipsum-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "lorem-ipsum" "20140911.2108" "Insert dummy pseudo Latin text." 'nil :commit "4b39f6fed455d67f635b3837cf5668bf74d0f6cd" :keywords '("tools" "language" "convenience") :authors '(("Jean-Philippe Theberge" . "jphil21@sourceforge.net")) :maintainer '("Joe Schafer" . "joe@jschaf.com"))
|
|
@ -0,0 +1,215 @@
|
|||
;;; lorem-ipsum.el --- Insert dummy pseudo Latin text.
|
||||
|
||||
;; Copyright (c) 2003 Jean-Philippe Theberge
|
||||
|
||||
;; Author: Jean-Philippe Theberge (jphil21@sourceforge.net)
|
||||
;; Maintainer: Joe Schafer (joe@jschaf.com)
|
||||
;; Package-Version: 20140911.2108
|
||||
;; Package-X-Original-Version: 0.2
|
||||
;; Keywords: tools, language, convenience
|
||||
|
||||
;; Special Thanks: The emacswiki users, the #emacs@freenode.net citizens
|
||||
;; and Marcus Tullius Cicero
|
||||
|
||||
;; This file is not part of GNU Emacs.
|
||||
|
||||
;; Contains code from GNU Emacs <https://www.gnu.org/software/emacs/>,
|
||||
;; released under the GNU General Public License version 3 or later.
|
||||
|
||||
;; lorem-ipsum.el is free software; you can redistribute it and/or modify it
|
||||
;; under the terms of the GNU General Public License as published by
|
||||
;; the Free Software Foundation; either version 3, or (at your option)
|
||||
;; any later version.
|
||||
|
||||
;; lorem-ipsum.el is distributed in the hope that it will be useful,
|
||||
;; but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
;; General Public License for more details.
|
||||
|
||||
;; You should have received a copy of the GNU General Public License
|
||||
;; along with lorem-ipsum.el. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
;;; History:
|
||||
|
||||
;; Version 0.1 released by Jean-Philippe Theberge in 2003. After
|
||||
;; attempting to contact Jean-Philippe, Joe Schafer took over as
|
||||
;; maintainer and published to Github.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; This package provides convenience functions to insert dummy Latin
|
||||
;; text into a buffer.
|
||||
;;
|
||||
;; To install manually, add this file to your `load-path'. Use the
|
||||
;; default keybindings by adding the following to your .emacs file:
|
||||
;;
|
||||
;; (lorem-ipsum-use-default-bindings)
|
||||
;;
|
||||
;; This will setup the folling keybindings:
|
||||
;;
|
||||
;; C-c l p: lorem-ipsum-insert-paragraphs
|
||||
;; C-c l s: lorem-ipsum-insert-sentences
|
||||
;; C-c l l: lorem-ipsum-insert-list
|
||||
;;
|
||||
;; If you want a different keybinding, say you want the prefix C-c C-l, use a variation of the
|
||||
;; following:
|
||||
;;
|
||||
;; (global-set-key (kbd "C-c C-l s") 'lorem-ipsum-insert-sentences)
|
||||
;; (global-set-key (kbd "C-c C-l p") 'lorem-ipsum-insert-paragraphs)
|
||||
;; (global-set-key (kbd "C-c C-l l") 'lorem-ipsum-insert-list)
|
||||
|
||||
|
||||
;;; Code:
|
||||
|
||||
(defconst lorem-ipsum-version "0.2")
|
||||
|
||||
(defgroup lorem-ipsum nil
|
||||
"Insert filler text."
|
||||
:group 'tools
|
||||
:group 'convenience)
|
||||
|
||||
;;;###autoload
|
||||
(defun lorem-ipsum-use-default-bindings ()
|
||||
"Use the default keybindings of C-c l [spl]."
|
||||
(interactive)
|
||||
(global-set-key (kbd "C-c l s") 'lorem-ipsum-insert-sentences)
|
||||
(global-set-key (kbd "C-c l p") 'lorem-ipsum-insert-paragraphs)
|
||||
(global-set-key (kbd "C-c l l") 'lorem-ipsum-insert-list))
|
||||
|
||||
(defconst lorem-ipsum-text
|
||||
'(("Lorem ipsum dolor sit amet, consectetuer adipiscing elit."
|
||||
"Donec hendrerit tempor tellus."
|
||||
"Donec pretium posuere tellus."
|
||||
"Proin quam nisl, tincidunt et, mattis eget, convallis nec, purus."
|
||||
"Cum sociis natoque penatibus et magnis dis parturient montes, nascetur ridiculus mus."
|
||||
"Nulla posuere."
|
||||
"Donec vitae dolor."
|
||||
"Nullam tristique diam non turpis."
|
||||
"Cras placerat accumsan nulla."
|
||||
"Nullam rutrum."
|
||||
"Nam vestibulum accumsan nisl.")
|
||||
|
||||
("Pellentesque dapibus suscipit ligula."
|
||||
"Donec posuere augue in quam."
|
||||
"Etiam vel tortor sodales tellus ultricies commodo."
|
||||
"Suspendisse potenti."
|
||||
"Aenean in sem ac leo mollis blandit."
|
||||
"Donec neque quam, dignissim in, mollis nec, sagittis eu, wisi."
|
||||
"Phasellus lacus."
|
||||
"Etiam laoreet quam sed arcu."
|
||||
"Phasellus at dui in ligula mollis ultricies."
|
||||
"Integer placerat tristique nisl."
|
||||
"Praesent augue."
|
||||
"Fusce commodo."
|
||||
"Vestibulum convallis, lorem a tempus semper, dui dui euismod elit, vitae placerat urna tortor vitae lacus."
|
||||
"Nullam libero mauris, consequat quis, varius et, dictum id, arcu."
|
||||
"Mauris mollis tincidunt felis."
|
||||
"Aliquam feugiat tellus ut neque."
|
||||
"Nulla facilisis, risus a rhoncus fermentum, tellus tellus lacinia purus, et dictum nunc justo sit amet elit.")
|
||||
|
||||
("Aliquam erat volutpat."
|
||||
"Nunc eleifend leo vitae magna."
|
||||
"In id erat non orci commodo lobortis."
|
||||
"Proin neque massa, cursus ut, gravida ut, lobortis eget, lacus."
|
||||
"Sed diam."
|
||||
"Praesent fermentum tempor tellus."
|
||||
"Nullam tempus."
|
||||
"Mauris ac felis vel velit tristique imperdiet."
|
||||
"Donec at pede."
|
||||
"Etiam vel neque nec dui dignissim bibendum."
|
||||
"Vivamus id enim."
|
||||
"Phasellus neque orci, porta a, aliquet quis, semper a, massa."
|
||||
"Phasellus purus."
|
||||
"Pellentesque tristique imperdiet tortor."
|
||||
"Nam euismod tellus id erat.")
|
||||
|
||||
("Nullam eu ante vel est convallis dignissim."
|
||||
"Fusce suscipit, wisi nec facilisis facilisis, est dui fermentum leo, quis tempor ligula erat quis odio."
|
||||
"Nunc porta vulputate tellus."
|
||||
"Nunc rutrum turpis sed pede."
|
||||
"Sed bibendum."
|
||||
"Aliquam posuere."
|
||||
"Nunc aliquet, augue nec adipiscing interdum, lacus tellus malesuada massa, quis varius mi purus non odio."
|
||||
"Pellentesque condimentum, magna ut suscipit hendrerit, ipsum augue ornare nulla, non luctus diam neque sit amet urna."
|
||||
"Curabitur vulputate vestibulum lorem."
|
||||
"Fusce sagittis, libero non molestie mollis, magna orci ultrices dolor, at vulputate neque nulla lacinia eros."
|
||||
"Sed id ligula quis est convallis tempor."
|
||||
"Curabitur lacinia pulvinar nibh."
|
||||
"Nam a sapien.")))
|
||||
|
||||
(defvar lorem-ipsum-paragraph-separator "\n\n")
|
||||
(defvar lorem-ipsum-sentence-separator " ")
|
||||
(defvar lorem-ipsum-list-beginning "")
|
||||
(defvar lorem-ipsum-list-bullet "* ")
|
||||
(defvar lorem-ipsum-list-item-end "\n")
|
||||
(defvar lorem-ipsum-list-end "")
|
||||
|
||||
(make-variable-buffer-local 'lorem-ipsum-paragraph-separator)
|
||||
(make-variable-buffer-local 'lorem-ipsum-sentence-separator)
|
||||
(make-variable-buffer-local 'lorem-ipsum-list-beginning)
|
||||
(make-variable-buffer-local 'lorem-ipsum-list-bullet)
|
||||
(make-variable-buffer-local 'lorem-ipsum-list-item-end)
|
||||
(make-variable-buffer-local 'lorem-ipsum-list-end)
|
||||
|
||||
(add-hook 'sgml-mode-hook (lambda ()
|
||||
(setq lorem-ipsum-paragraph-separator "<br><br>\n"
|
||||
lorem-ipsum-sentence-separator " "
|
||||
lorem-ipsum-list-beginning "<ul>\n"
|
||||
lorem-ipsum-list-bullet "<li>"
|
||||
lorem-ipsum-list-item-end "</li>\n"
|
||||
lorem-ipsum-list-end "</ul>\n")))
|
||||
|
||||
;;;###autoload
|
||||
(defun lorem-ipsum-insert-paragraphs (&optional num)
|
||||
"Insert lorem ipsum paragraphs into buffer.
|
||||
If NUM is non-nil, insert NUM paragraphs."
|
||||
(interactive "p")
|
||||
(if (not num)(setq num 1))
|
||||
(if (> num 0)
|
||||
(progn
|
||||
(insert (concat
|
||||
(mapconcat 'identity
|
||||
(nth (random (length lorem-ipsum-text))
|
||||
lorem-ipsum-text) lorem-ipsum-sentence-separator) lorem-ipsum-paragraph-separator))
|
||||
(lorem-ipsum-insert-paragraphs (- num 1)))))
|
||||
|
||||
;;;###autoload
|
||||
(defalias 'Lorem-ipsum-insert-paragraphs 'lorem-ipsum-insert-paragraphs)
|
||||
|
||||
;;;###autoload
|
||||
(defun lorem-ipsum-insert-sentences (&optional num)
|
||||
"Insert lorem ipsum sentences into buffer.
|
||||
If NUM is non-nil, insert NUM sentences."
|
||||
(interactive "p")
|
||||
(if (not num)(setq num 1))
|
||||
(if (> num 0)
|
||||
(progn
|
||||
(let ((para
|
||||
(nth (random (length lorem-ipsum-text)) lorem-ipsum-text)))
|
||||
(insert (concat (nth (random (length para)) para) lorem-ipsum-sentence-separator)))
|
||||
(lorem-ipsum-insert-sentences (- num 1)))))
|
||||
|
||||
;;;###autoload
|
||||
(defalias 'Lorem-ipsum-insert-sentences 'lorem-ipsum-insert-sentences)
|
||||
|
||||
;;;###autoload
|
||||
(defun lorem-ipsum-insert-list (&optional num)
|
||||
"Insert lorem ipsum list items into buffer.
|
||||
If NUM is non-nil, insert NUM list items."
|
||||
(interactive "p")
|
||||
(if (not num)(setq num 1))
|
||||
(if (> num 0)
|
||||
(progn
|
||||
(let ((para (nth (random (length lorem-ipsum-text)) lorem-ipsum-text)))
|
||||
(insert (concat lorem-ipsum-list-bullet
|
||||
(nth (random (length para)) para)
|
||||
lorem-ipsum-list-item-end)))
|
||||
(lorem-ipsum-insert-list (- num 1)))
|
||||
(insert lorem-ipsum-list-end)))
|
||||
|
||||
;;;###autoload
|
||||
(defalias 'Lorem-ipsum-insert-list 'lorem-ipsum-insert-list)
|
||||
|
||||
(provide 'lorem-ipsum)
|
||||
|
||||
;;; lorem-ipsum.el ends here
|
Binary file not shown.
|
@ -0,0 +1,20 @@
|
|||
This package provides convenience functions to insert dummy Latin
|
||||
text into a buffer.
|
||||
|
||||
To install manually, add this file to your `load-path'. Use the
|
||||
default keybindings by adding the following to your .emacs file:
|
||||
|
||||
(lorem-ipsum-use-default-bindings)
|
||||
|
||||
This will setup the folling keybindings:
|
||||
|
||||
C-c l p: lorem-ipsum-insert-paragraphs
|
||||
C-c l s: lorem-ipsum-insert-sentences
|
||||
C-c l l: lorem-ipsum-insert-list
|
||||
|
||||
If you want a different keybinding, say you want the prefix C-c C-l, use a variation of the
|
||||
following:
|
||||
|
||||
(global-set-key (kbd "C-c C-l s") 'lorem-ipsum-insert-sentences)
|
||||
(global-set-key (kbd "C-c C-l p") 'lorem-ipsum-insert-paragraphs)
|
||||
(global-set-key (kbd "C-c C-l l") 'lorem-ipsum-insert-list)
|
|
@ -0,0 +1,27 @@
|
|||
;;; org-bullets-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "org-bullets" "org-bullets.el" (0 0 0 0))
|
||||
;;; Generated autoloads from org-bullets.el
|
||||
|
||||
(autoload 'org-bullets-mode "org-bullets" "\
|
||||
UTF8 Bullets for org-mode
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "org-bullets" '("org-bullets-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; org-bullets-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "org-bullets" "0.2.4" "Show bullets in org-mode as UTF-8 characters" 'nil :commit "b70ac2ec805bcb626a6e39ea696354577c681b36" :authors '(("sabof")) :maintainer '("sabof") :url "https://github.com/sabof/org-bullets")
|
|
@ -0,0 +1,127 @@
|
|||
;;; org-bullets.el --- Show bullets in org-mode as UTF-8 characters
|
||||
;;; Version: 0.2.4
|
||||
;; Package-Version: 0.2.4
|
||||
;;; Author: sabof
|
||||
;;; URL: https://github.com/sabof/org-bullets
|
||||
|
||||
;; This file is NOT part of GNU Emacs.
|
||||
;;
|
||||
;; This program is free software; you can redistribute it and/or
|
||||
;; modify it under the terms of the GNU General Public License as
|
||||
;; published by the Free Software Foundation; either version 3, or (at
|
||||
;; your option) any later version.
|
||||
;;
|
||||
;; This program is distributed in the hope that it will be useful, but
|
||||
;; WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
;; General Public License for more details.
|
||||
;;
|
||||
;; You should have received a copy of the GNU General Public License
|
||||
;; along with this program ; see the file COPYING. If not, write to
|
||||
;; the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
|
||||
;; Boston, MA 02111-1307, USA.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; The project is hosted at https://github.com/sabof/org-bullets
|
||||
;; The latest version, and all the relevant information can be found there.
|
||||
|
||||
;;; Code:
|
||||
|
||||
(eval-when-compile (require 'cl))
|
||||
|
||||
(defgroup org-bullets nil
|
||||
"Display bullets as UTF-8 characters"
|
||||
:group 'org-appearance)
|
||||
|
||||
;; A nice collection of unicode bullets:
|
||||
;; http://nadeausoftware.com/articles/2007/11/latency_friendly_customized_bullets_using_unicode_characters
|
||||
(defcustom org-bullets-bullet-list
|
||||
'(;;; Large
|
||||
"◉"
|
||||
"○"
|
||||
"✸"
|
||||
"✿"
|
||||
;; ♥ ● ◇ ✚ ✜ ☯ ◆ ♠ ♣ ♦ ☢ ❀ ◆ ◖ ▶
|
||||
;;; Small
|
||||
;; ► • ★ ▸
|
||||
)
|
||||
"This variable contains the list of bullets.
|
||||
It can contain any number of symbols, which will be repeated."
|
||||
:group 'org-bullets
|
||||
:type '(repeat (string :tag "Bullet character")))
|
||||
|
||||
(defcustom org-bullets-face-name nil
|
||||
"This variable allows the org-mode bullets face to be
|
||||
overridden. If set to a name of a face, that face will be
|
||||
used. Otherwise the face of the heading level will be used."
|
||||
:group 'org-bullets
|
||||
:type 'symbol)
|
||||
|
||||
(defvar org-bullets-bullet-map
|
||||
'(keymap
|
||||
(mouse-1 . org-cycle)
|
||||
(mouse-2
|
||||
. (lambda (e)
|
||||
(interactive "e")
|
||||
(mouse-set-point e)
|
||||
(org-cycle))))
|
||||
"Mouse events for bullets.
|
||||
Should this be undesirable, one can remove them with
|
||||
|
||||
\(setcdr org-bullets-bullet-map nil\)")
|
||||
|
||||
(defun org-bullets-level-char (level)
|
||||
(string-to-char
|
||||
(nth (mod (1- level)
|
||||
(length org-bullets-bullet-list))
|
||||
org-bullets-bullet-list)))
|
||||
|
||||
;;;###autoload
|
||||
(define-minor-mode org-bullets-mode
|
||||
"UTF8 Bullets for org-mode"
|
||||
nil nil nil
|
||||
(let* (( keyword
|
||||
`(("^\\*+ "
|
||||
(0 (let* (( level (- (match-end 0) (match-beginning 0) 1))
|
||||
( is-inline-task
|
||||
(and (boundp 'org-inlinetask-min-level)
|
||||
(>= level org-inlinetask-min-level))))
|
||||
(compose-region (- (match-end 0) 2)
|
||||
(- (match-end 0) 1)
|
||||
(org-bullets-level-char level))
|
||||
(when is-inline-task
|
||||
(compose-region (- (match-end 0) 3)
|
||||
(- (match-end 0) 2)
|
||||
(org-bullets-level-char level)))
|
||||
(when (facep org-bullets-face-name)
|
||||
(put-text-property (- (match-end 0)
|
||||
(if is-inline-task 3 2))
|
||||
(- (match-end 0) 1)
|
||||
'face
|
||||
org-bullets-face-name))
|
||||
(put-text-property (match-beginning 0)
|
||||
(- (match-end 0) 2)
|
||||
'face (list :foreground
|
||||
(face-attribute
|
||||
'default :background)))
|
||||
(put-text-property (match-beginning 0)
|
||||
(match-end 0)
|
||||
'keymap
|
||||
org-bullets-bullet-map)
|
||||
nil))))))
|
||||
(if org-bullets-mode
|
||||
(progn
|
||||
(font-lock-add-keywords nil keyword)
|
||||
(font-lock-fontify-buffer))
|
||||
(save-excursion
|
||||
(goto-char (point-min))
|
||||
(font-lock-remove-keywords nil keyword)
|
||||
(while (re-search-forward "^\\*+ " nil t)
|
||||
(decompose-region (match-beginning 0) (match-end 0)))
|
||||
(font-lock-fontify-buffer))
|
||||
)))
|
||||
|
||||
(provide 'org-bullets)
|
||||
|
||||
;;; org-bullets.el ends here
|
Binary file not shown.
|
@ -0,0 +1,27 @@
|
|||
;;; org-bullets-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "org-bullets" "org-bullets.el" (0 0 0 0))
|
||||
;;; Generated autoloads from org-bullets.el
|
||||
|
||||
(autoload 'org-bullets-mode "org-bullets" "\
|
||||
Use UTF8 bullets in Org mode headings.
|
||||
|
||||
\(fn &optional ARG)" t nil)
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "org-bullets" '("org-bullets-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; org-bullets-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "org-bullets" "20180208.2343" "Show bullets in org-mode as UTF-8 characters" 'nil :commit "b56f2e3812626f2c4ac1686073d102c71f4a8513" :authors '(("sabof")) :maintainer '("Jonas Bernoulli" . "jonas@bernoul.li") :url "https://github.com/emacsorphanage/org-bullets")
|
|
@ -0,0 +1,129 @@
|
|||
;;; org-bullets.el --- Show bullets in org-mode as UTF-8 characters
|
||||
|
||||
;; Version: 0.2.4
|
||||
;; Package-Version: 20180208.2343
|
||||
;; Author: sabof
|
||||
;; Maintainer: Jonas Bernoulli <jonas@bernoul.li>
|
||||
;; Homepage: https://github.com/emacsorphanage/org-bullets
|
||||
|
||||
;; This file is NOT part of GNU Emacs.
|
||||
|
||||
;; This file is free software; you can redistribute it and/or modify
|
||||
;; it under the terms of the GNU General Public License as published by
|
||||
;; the Free Software Foundation; either version 3, or (at your option)
|
||||
;; any later version.
|
||||
|
||||
;; This file is distributed in the hope that it will be useful,
|
||||
;; but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
;; GNU General Public License for more details.
|
||||
|
||||
;; For a full copy of the GNU General Public License
|
||||
;; see <http://www.gnu.org/licenses/>.
|
||||
|
||||
;;; Commentary:
|
||||
|
||||
;; Show org-mode bullets as UTF-8 characters.
|
||||
|
||||
;; Because the author is inactive, this package is currenlty being
|
||||
;; maintained at https://github.com/emacsorphanage/org-bullets.
|
||||
|
||||
;;; Code:
|
||||
|
||||
(defgroup org-bullets nil
|
||||
"Display bullets as UTF-8 characters."
|
||||
:group 'org-appearance)
|
||||
|
||||
;; A nice collection of unicode bullets:
|
||||
;; http://nadeausoftware.com/articles/2007/11/latency_friendly_customized_bullets_using_unicode_characters
|
||||
(defcustom org-bullets-bullet-list
|
||||
'(;;; Large
|
||||
"◉"
|
||||
"○"
|
||||
"✸"
|
||||
"✿"
|
||||
;; ♥ ● ◇ ✚ ✜ ☯ ◆ ♠ ♣ ♦ ☢ ❀ ◆ ◖ ▶
|
||||
;;; Small
|
||||
;; ► • ★ ▸
|
||||
)
|
||||
"List of bullets used in Org headings.
|
||||
It can contain any number of symbols, which will be repeated."
|
||||
:group 'org-bullets
|
||||
:type '(repeat (string :tag "Bullet character")))
|
||||
|
||||
(defcustom org-bullets-face-name nil
|
||||
"Face used for bullets in Org mode headings.
|
||||
If set to the name of a face, that face is used.
|
||||
Otherwise the face of the heading level is used."
|
||||
:group 'org-bullets
|
||||
:type 'symbol)
|
||||
|
||||
(defvar org-bullets-bullet-map (make-sparse-keymap))
|
||||
|
||||
(defun org-bullets-level-char (level)
|
||||
(string-to-char
|
||||
(nth (mod (1- level)
|
||||
(length org-bullets-bullet-list))
|
||||
org-bullets-bullet-list)))
|
||||
|
||||
(defvar org-bullets--keywords
|
||||
`(("^\\*+ "
|
||||
(0 (let* ((level (- (match-end 0) (match-beginning 0) 1))
|
||||
(is-inline-task
|
||||
(and (boundp 'org-inlinetask-min-level)
|
||||
(>= level org-inlinetask-min-level))))
|
||||
(compose-region (- (match-end 0) 2)
|
||||
(- (match-end 0) 1)
|
||||
(org-bullets-level-char level))
|
||||
(when is-inline-task
|
||||
(compose-region (- (match-end 0) 3)
|
||||
(- (match-end 0) 2)
|
||||
(org-bullets-level-char level)))
|
||||
(when (facep org-bullets-face-name)
|
||||
(put-text-property (- (match-end 0)
|
||||
(if is-inline-task 3 2))
|
||||
(- (match-end 0) 1)
|
||||
'face
|
||||
org-bullets-face-name))
|
||||
(put-text-property (match-beginning 0)
|
||||
(- (match-end 0) 2)
|
||||
'face (list :foreground
|
||||
(face-attribute
|
||||
'default :background)))
|
||||
(put-text-property (match-beginning 0)
|
||||
(match-end 0)
|
||||
'keymap
|
||||
org-bullets-bullet-map)
|
||||
nil)))))
|
||||
|
||||
;;;###autoload
|
||||
(define-minor-mode org-bullets-mode
|
||||
"Use UTF8 bullets in Org mode headings."
|
||||
nil nil nil
|
||||
(if org-bullets-mode
|
||||
(progn
|
||||
(font-lock-add-keywords nil org-bullets--keywords)
|
||||
(org-bullets--fontify-buffer))
|
||||
(save-excursion
|
||||
(goto-char (point-min))
|
||||
(font-lock-remove-keywords nil org-bullets--keywords)
|
||||
(while (re-search-forward "^\\*+ " nil t)
|
||||
(decompose-region (match-beginning 0) (match-end 0)))
|
||||
(org-bullets--fontify-buffer))))
|
||||
|
||||
(defun org-bullets--fontify-buffer ()
|
||||
(when font-lock-mode
|
||||
(if (and (fboundp 'font-lock-flush)
|
||||
(fboundp 'font-lock-ensure))
|
||||
(save-restriction
|
||||
(widen)
|
||||
(font-lock-flush)
|
||||
(font-lock-ensure))
|
||||
(with-no-warnings
|
||||
(font-lock-fontify-buffer)))))
|
||||
|
||||
(provide 'org-bullets)
|
||||
;; Local Variables:
|
||||
;; indent-tabs-mode: nil
|
||||
;; End:
|
||||
;;; org-bullets.el ends here
|
Binary file not shown.
|
@ -0,0 +1,4 @@
|
|||
Show org-mode bullets as UTF-8 characters.
|
||||
|
||||
Because the author is inactive, this package is currenlty being
|
||||
maintained at https://github.com/emacsorphanage/org-bullets.
|
|
@ -0,0 +1,22 @@
|
|||
;;; popup-autoloads.el --- automatically extracted autoloads
|
||||
;;
|
||||
;;; Code:
|
||||
|
||||
(add-to-list 'load-path (directory-file-name
|
||||
(or (file-name-directory #$) (car load-path))))
|
||||
|
||||
|
||||
;;;### (autoloads nil "popup" "popup.el" (0 0 0 0))
|
||||
;;; Generated autoloads from popup.el
|
||||
|
||||
(if (fboundp 'register-definition-prefixes) (register-definition-prefixes "popup" '("popup-")))
|
||||
|
||||
;;;***
|
||||
|
||||
;; Local Variables:
|
||||
;; version-control: never
|
||||
;; no-byte-compile: t
|
||||
;; no-update-autoloads: t
|
||||
;; coding: utf-8
|
||||
;; End:
|
||||
;;; popup-autoloads.el ends here
|
|
@ -0,0 +1,2 @@
|
|||
;;; -*- no-byte-compile: t -*-
|
||||
(define-package "popup" "20160709.1429" "Visual Popup User Interface" '((cl-lib "0.5")) :commit "80829dd46381754639fb764da11c67235fe63282" :keywords '("lisp") :authors '(("Tomohiro Matsuyama" . "m2ym.pub@gmail.com")) :maintainer '("Tomohiro Matsuyama" . "m2ym.pub@gmail.com"))
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue