# -*- mode: snippet -*- # name: library # key: lib # -- library IEEE; use IEEE.std_logic_1164.all;