yasnippet-snippets/snippets/vhdl-mode/lib

7 lines
99 B
Plaintext

# -*- mode: snippet -*-
# name: library
# key: lib
# --
library IEEE;
use IEEE.std_logic_1164.all;