another test for leading zeros

This commit is contained in:
Aleksey Cheusov 2008-05-12 22:58:47 +00:00 committed by Thomas Klausner
parent 315c6b5021
commit c0df52d556

View file

@ -33,3 +33,4 @@
1z 1.26nb5 <
1.26nb5 1z5 <
0001.00023.000456 1.23.456 =
0001beta00023rc000456 1beta23rc456 =