Commit graph

341 commits

Author SHA1 Message Date
wiz
bf3d7b780c Remove unnecessary message. 2001-02-06 17:28:57 +00:00
wiz
d4fce8d4e7 Unify format of MESSAGEs, and include RCS Ids. 2001-02-06 14:24:03 +00:00
dmcmahill
1c2773e731 update to verilog-0.4.
from the authors announcement:

So many things have changed since version 0.3 that there is no point
in listing them. There have been tons and tons of bug fixes and the
language coverage is better, and so on and so forth. It's just so very
much better then version 0.3:-)

speaking as a user, some of my personal favorites are:
- support for signed variables
- iverilog now gives correct return codes (which makes 'make' much happier)

for a more complete list, the commit messages for
pkgsrc/cad/verilog-current/Makefile contain the changes for each
development snapshot between verilog-0.3 and verilog-0.4
2001-02-04 15:36:49 +00:00
jtb
00fd566f1a Updated xcircuit to version 2.2.0. The schematic capture code is now
considered stable. Xcircuit now has the ability to pass parameters
to objects. For the moment, this only works with string parameters.
Integral parameters will be added in upcoming versions.
2001-01-19 23:24:33 +00:00
dmcmahill
6ab77acc42 update to verilog-current-20010113
bug fixes and signed support (yay!).

detailed changes since last packaged snapshot from the
authors announcements:

Icarus Verilog snapshot 20010113
--------------------------------
We're getting close to release candidates. Maybe another snapshot, then
I start with making 0.4 release candidates. So lets get this tested so
that I can move forward!

This snapshot largely fixes a whole bunch of bugs. I'm working feverishly
to catch up to the reported bugs, but they are coming in about as fast
as I can resolve them. (Not that I'm complaining, mind you. These PRs
are really helping me make it better.) But that means I'm going to just
concentrate on getting as many PRs done as I can before the release.

The syntax of functions and tasks has been expanded to allow parameters.
This is not a common thing to do, but someone puts parameters if functions

The syntax of functions and tasks has been expanded to allow parameters.
This is not a common thing to do, but someone puts parameters if functions
so I had a PR to fix:-) Also I caught a problem with executing functions
that take no input parameters. In fact, a bunch of function related bugs
were fixed. I lost track of em all.

Ports of tasks weren't elaborated properly. I fixed this and a few related
problems so most legal l-values should work as task port expressions now.
Memories within tasks should also work properly now.

Speaking of ports, I now report errors when there is a port direction
for module ports that don't exist. In fact, there are a whole bunch of
cases where I've added required error messages.

The %d format of display strings now displays signed negative values as
negative values instead of the unsigned equivalent. This goes along with
the other signed arithmetic features from the -2000 standard that are now
supported.

I fixed up the Makefiles (thanks to a contribution) to support build using
the VPATH capabilities of the makefile. You should be able to compile for
multiple targets now from a shared source directory.

Icarus Verilog snapshot 20010106
--------------------------------
I'm just managing to barely keep up with the bug reports arriving in
the bug tracking system. I'm pleased with the success of the ivl-bugs
robot. These bug reports have allowed me to better manage and record
progress. Keep those bug reports coming!

I've added support for arrays of integer and time variables, as well
as the Verilog 2000 initialization syntax for these types. I have also
made some internal changes to integer support. Integers are now just
a shorthand for ``reg signed [31:0]'' and signed variable support has
made some internal changes to integer support. Integers are now just
a shorthand for ``reg signed [31:0]'' and signed variable support has
been generalized. This makes it easier on the code generators, as they
do not need to know that a variable was a integer, a time, a foo or a bar.

I few constant propagation errors have been fixed. Continuous assignments
of constants to nets should behave correctly now.

Many people noticed lack of expression support for parameters. In fact,
the problem was a lack of support for a bunch of specific operators. I've
added many operators. This has the side effect of improving constant
propagation as well, in some cases finding more dead code to eliminate.
This also affected expressions that were index expressions of memories
and vector declarations.

The / operator in continuous assignment expressions is fixed. There were
a few size related issue here that are now fixed.

I've added to the i3331364-notes.txt file a clarification of my position
on parse of repeat statements. This position is compatible with XL behavior.

Passing parameters to user defined functions in behavioral code was pretty
darn broken. I managed to fix this. You can tell that not many people use
user defined functions:-)  (It doesn't help that Icarus Verilog is picky
about them.)

For vvm, I've put some effort into optimizing the compile time of programs
by reducing the size of the output code. I've reduced redundancies some,
and used loops to handle vectors where possible.

A few bugs related to $dumpvars were cleaned up. Function scopes work.
2001-01-14 19:01:13 +00:00
agc
9c2d582fc9 The way that shared objects were handled in the PLISTs and bsd.pkg.mk was
out of date - it was based on a.out OBJECT_FMT, and added entries in the
generated PLISTs to reflect the symlinks that ELF packages uses. It also
tried to be clever, and removed and recreated any symbolic links that were
created, which has resulted in some fun, especially with packages which
use dlopen(3) to load modules. Some recent changes to our ld.so to bring
it more into line with other Operating Systems also exposed some cracks.

+ Modify bsd.pkg.mk and its shared object handling, so that PLISTs now contain
the ELF symlinks.
+ Don't mess about with file system entries when handling shared objects in
bsd.pkg.mk, since it's likely that libtool and the BSD *.mk processing will
have got it right, and have a much better idea than we do.
+ Modify PLISTs to contain "ELF symlinks"
+ On a.out platforms, delete any "ELF symlinks" from the generated PLISTs
+ On ELF platforms, no extra processing needs to be done in bsd.pkg.mk
+ Modify print-PLIST target in bsd.pkg.mk to add dummy symlink entries on
a.out platforms
+ Update the documentation in Packages.txt

With many thanks to Thomas Klausner for keeping me honest with this.
2001-01-04 15:10:17 +00:00
dmcmahill
783ada5212 make sure the include and library paths are set to correctly find readline
headers and libraries.

fixes recently noted compile problems seen by Huberts bulk i386 builds.
2000-12-31 18:09:54 +00:00
dmcmahill
c85e75a058 fix DISTNAME. somehow got lost in upgrade 2000-12-28 16:59:44 +00:00
skrll
867554e424 Make sure that libraries can be found. Pick up in bulk build output. 2000-12-21 18:17:09 +00:00
wiz
89c7adbd82 Actually, there's no patch-ab here. Remove it from patch-sum file. 2000-12-21 12:35:02 +00:00
dmcmahill
cc2302da66 update verilog-current to 20001216.
Changes since the last packaged snapshot are (from the authors announcements):


Icarus Verilog snapshot 20001216
--------------------------------
This is the last snapshot before the holidays, so I hope it has your
favorite present in it. A lot of PR#s have been dealt with, and for a
brief moment I felt like I was getting ahead of the pending list:-)


I've added support for non-integer times, at least in a few contexts.
The `timescale directives should interact properly with the decimal
point in delays, causing more accurate timing simulations. This should
make vendor-supplied libraries work much better.

I've added support for signed reg variables. Signed expressions should
now generally do the right thing, but this feature needs much more testing,
and many more tests in the test suite. However, I do know that signed
comparisons should work properly. Bug reports for problems with signed
arithmetic are encouraged.

Many people have been having troubles with Cygwin compilation. The
problem was with the latest version of binutils. Venkat came up with a
solution that works with old and new binutils, so you can now compile
with the very latest cygwin software. This should make things a lot
easier for a lot of people.

I've made initial steps toward an HP/UX port. The configure script should
detect the right dl library to use, and the t-dll target should be able
to load loadable targets. I am looking for a volunteer to take responsibility
for the HP/UX port as I have no suitable machines. Said person should
be able to compile Icarus Verilog, manage HP/UX specific portability
issues, and be able to make precompiled packages when the stable release
is out.

I'm still looking for a similar volunteer for FreeBSD/{alpha,i386}.

Some more progress was made on support for PALs. I'm close to choosing
macrocell modes and configuring fuses. Won't be long now, folks.

Icarus Verilog snapshot 20001129
--------------------------------
few more constant propagation improvements this time, most notably
XOR an XNOR are now fairly complete. These are interesting as they are
generated by comparison operators so show up pretty often. And it is
common to compare numbers to constants. Thus, there are lots of oppor-
tunities for gate elimination!

Synthesis of unary ! now works. Unary ~| (reduction nor) should also
be in good shape now, as should binary || (logical or). Synthesis of
binary && is still a little shaky. Go ahead and file reports if you
trip on it. Binary != was broken with XNF synthesis, so that is also
fixed, along with a few cases of mangled XNF output. And there were
also a few bugs related to the CE of inferred DFFs, that didn't get
connected.

A *big* problem with synthesis occurred with non-blocking assignment.
Icarus Verilog simply failed to synthesize the r-value of the assignment
and all kinds of bad things happened. I fixed this, it's better now.

Whew! Lots of XNF synthesis bugs fixed! This is what happens when users
take the time to submit good bug reports.

There are also some bugs related to dead signal elimination that causes
Icarus Verilog to crash in some synthesis cases. These have been fixed
up so far as I know.

I have slightly improved root module detection of iverilog. If there is
only one module in a source file, it is pretty obvious that it is the root
module, even if it has ports. This is a common case for XNF synthesis
(especially when making small macros with Icarus Verilog) and should save
some typing and confusion.
2000-12-19 18:53:51 +00:00
dmcmahill
adbdebc615 use -fPIC for building libipal. needed for newer versions (>20001216)of verilog 2000-12-19 16:36:52 +00:00
dmcmahill
e37ad5ef35 homepage moved 2000-12-17 13:02:22 +00:00
dmcmahill
9228c68d60 update to ipal-current-20001210
-all previous netbsd patches have been incorporated (Thanks Steve!)

-changes from the authors announcement:

I fixed a problem with some systems not having getopt.h. I forget
which system it was, but configure takes care of it. I've also fixed
some broken install targets in the Makefiles.

I've added a new pin_count statement to PA files, so that the library
can provide to the user more information about the pinout of the device
in question. Applications may use this to help manage its pin binding
tasks.

A contributed 16l8 part description file was contributed, and included
in this release.
2000-12-17 03:43:29 +00:00
jtb
702481e438 Fixed problems from recent pkgsrc/i386 bulk build. Added the ~250 page
postscript manual.
2000-12-14 02:07:43 +00:00
wiz
a32b3a5655 Unify Makefiles -- mostly headers: remove FreeBSD Ids.
Consistent 4 character indentation of SUBDIR entries.
2000-12-12 01:30:36 +00:00
dmcmahill
eba731a0da ensure configure is executible 2000-12-09 18:43:47 +00:00
dmcmahill
43de13d654 missed this one during last update... 2000-12-09 18:28:12 +00:00
rh
224a7afec4 Sync w/ reality 2000-12-07 12:46:47 +00:00
jtb
c97ef38a00 Add and enable new "felt" package. Fixes PR pkg/11403 by Jason Beegan. 2000-11-27 23:32:11 +00:00
jtb
480b1f8891 Initial import of new "felt" package:
Free system for introductory level finite element analysis
2000-11-27 23:28:23 +00:00
rh
ebf5723505 Update oregano to 0.20. Changes include:
* A new part: wire jumper.
 * Drag'n'drop from the part preview to place parts.
 * A crude form of auto numbering when placing parts.
 * Cleaned up makefiles and put some samples in
   *<prefix>/share/oregano/samples/.
 * Changed default spice executable name to spice3.
 * Connection dots.
 * A simple voltmeter function.
 * Fix various ref/unref/sink design flaws, to
   increased stability.
 * Part browser remembers the previously selected part
   when switching libraries.
 * Should really work with libxml 2.x this time... :-)
 * Reorganization of installation directories for model
   and library files.
 * The plot widget now features axis values.
 * New parts: uA741 opamp and a simple zener diode.
 * Parts and wires can be flipped horizontally and vertically.
 * Enabled loading of simulation settings again.
 * Added a simple text label item to put text on the sheet.
 * Fixed the 'sometimes non-disappearing floating item' bug.
 * Should now also work with libxml 2.x (untested).
 * Switched C and B on the BJT transistor models.
 * Removed the unfinished printing support for now.
 * Redesign of lots of internals.
 * Added lots of functionality to the parts library,
   such as model files, and conditional values in templates.
 * Improved a few of the icons.
 * Fixed a bug where the name of the markers could not be edited.
 * You can inspect the connection span, by holding Control while
   moving the cursor over wires.
 * Added the ability to rotate parts while placing them; press 'r'
   to achieve this.
 * Logging of Spice warnings and errors during simulation.
   The messages can be shown in a log window.
 * Beautification of the part browser UI.
 * Added more tooltips and updated some translations.
 * Fixed a few non-critical bugs.
 * New and improved part properties editor.
 * Improved user interface for the plot window.
 * Internationalization fixes to netlist generation
   and schematic loading/saving.
 * The simulation now uses the user supplied time step size.
 * French translation from David Monniaux.
 * Even more refinement of the default library symbols/parts.
 * Mission 'Code Cleaning' continues.
 * Cut/copy/paste is implemented.
 * The symbols are redrawn to look much nicer and more standard.
 * Some new symbols, e.g. MOSFETs.
 * There can be more than one part library, thanks to Elker Cavina.
 * A bug with simulation time settings was fixed.
 * There is a problem with some spice packages, that make them generate
   binary output, even though the default should be ascii. This is now
   fixed by telling spice to always output text.
 * The help files are now installed in the rpm package.
 * Lots of code restructuring and cleaning.
2000-11-25 11:59:18 +00:00
dmcmahill
5fc4bbd602 rest of upgrade to verilog-current-20001119
don't know what happened the first try.
2000-11-24 18:07:57 +00:00
dmcmahill
6c1ab3c380 update to verilog-current-20001119
changes since the last packaged version (from the authors announcements):

Icarus Verilog snapshot 20001119
--------------------------------
The big change here (code wise) is improved and corrected constant
propagation. I was missing OR, NOR, NAND and XOR propagations, and
got some of the AND calculations wrong. This fixes this shortcoming
and in some cases this actually may speed up your compile a tiny bit.
Some more dangling signals are also eliminated.

supply nets are now working (PR#17). They also will trigger constant
propagation (as they have constant values) in certain cases.

Those of you doing cygwin compiles have trouble compiling parse.cc. I've
put into the cygwin.txt some slightly better instructions for dealing with
this situation, when it comes up.

I've also added missing symbols to ivl.def, so that tgt-stub properly
links.

Icarus Verilog snapshot 20001112
--------------------------------
This snapshot includes support for MOS et al devices as contributed
by Tim Leight. It appears to actually work as advertised, and I also
have from him a collection of tests that I'll be adding to the test
suite as soon as I get copyright information from him. So if you have
been dreaming of simulating MOS devices with Icarus Verilog, give this
a try. This update also fixed PR#27.

I've also cleared up a few bugs related to unconnected module ports.
Module port syntax is pretty byzantine, as PR#38 shows.

The loadable target API has gained access to flip-flops. This is required
for PLD code generation to work. I think the ivl_target API now supports
the minimum devices needed to generate PLD files, and I'm on to the task
of getting ancillary PAL support working.

Icarus Verilog snapshot 20001104
--------------------------------
Yes, I've managed to find the right bits to get Icarus Verilog to compile
on RedHat 7.0, and this snapshot includes those fixes. It took some back-
and-forth with tech support at RedHat to get it going.

I've also fixed up make check so that it works in general. If you use
"make check" after building, the makefile will run the examples/hello.vl
program through the local parts to make sure they minimally work.

I've added support for the "time" data time and more complete support
for the $time system function. These should work properly in all cases
now, so cases of not working are worthy of a bug report.

I've also integrated a re-implementation of sequential UDPs from Stephan
Boettcher, so I would appreciate it if all you folks using primitives
give this a fresh test. (It should be an improvement.)

This is a relatively small message, which doesn't reflect the complexity
of the changes. The "time" support in particular caused a lot of threads
to be pulled. Also, I've been doing some PLD stuff on the side, so I've
been busy.

I've also knocked of PR#11, 14, 33, 34, 39 and a few other bugs.
2000-11-24 18:03:58 +00:00
dmcmahill
44188fc7a8 update to ipal-current-20001122
changes (from the announcement):
The libipal library has gained access to more structural information
about the device, including enable SOPs. It is also now possible to
lookup a SOP by name and by pin. Also, access to sop fuse positions
has been improved.

The toplevel makefiles were changed to use $(MAKE) instead of make.
There are a few other niggling makefile fixes as well.

ipalrev and ipaledit now both take the -p flag allowing you to choose
the architecture for your device, and there are now two devices to
choose from. (both 22v10s:-)

ipalrev was seriously confused about inversions and the sense of things,
but that should be all cleared up now. It does a decent, if not complete,
job of decompiling 22v10 designs.

And finally, the PA file format has been extended to accommodate the
new library features, and PA files are now named according to a naming
convention for identifying devices.
2000-11-24 15:49:05 +00:00
dmcmahill
3c725e8d7b update to gwave-20001123
from the NEWS file:
New in 20001123:
- Saving wave and panel configuration as guile scripts.
- Executing guile scripts from menu or command line (-s <script> option)
- Remote control using the gwave-exec and gwaverepl utilities

New in 20001004:
- Spice3/Ngspice rawfile improvements: binary files now work!
- Other minor file-reading improvements.
- spice source code to examples for which I could still find it.

New in 20001004:
- Major improvements to handling of binary files produced by HSPICE.
- Overhaul of input of spice3/ngspice raw files; more robust and tolerant
  of complex numbers.
- User interface improvements: tooltips added.  User's .gwaverc no longer
  required to do lots of standard setup.  system.gwaverc can be copied
  to $HOME/.gwaverc and edited to taste.
- sp2sp utility included for converting any spice file readable by gwave into
  a convenient tabular ascii format.
2000-11-24 13:51:51 +00:00
dmcmahill
277bf10287 update to dinotrace-9.0l
from the NEWS file:
* Changes in Dinotrace 9.0l  8/30/2000

**      Added support for femtosecond Verilog timescales [Derek Bosch]
2000-11-23 15:46:34 +00:00
dmcmahill
a78ae5bac0 update to acs-0.28
from the history file:
----------------------
New features:

1. New probes: diode G, mos IBD, IBS, GBD, GBS.

2. New options: "floor" and "vfloor".  (Floor was in the manual, but
not in the simulator.)


Improvements, bug fixes, etc.

1. There is a change to the way behavioral modeling conditionals are
handled.  It should now be 100% compatible with SPICE, considering the
subset that duplicates SPICE.  There are still significant extensions
beyond SPICE, particularly that you can have behavioral resistors,
capacitors, inductors, etc.

2. Parameter default calculations are now done in a manner consistent
with Spice 3f5.  Previously, it was supposedly consistent with Spice
2g6.

3. A bug in calculation of threshold voltage of the level 6 model, for
P channel devices, has been fixed.

4. A bug in calculation of Meyer capacitances when the device is
reversed has been fixed.  This bug sometimes caused a discontinuity at
vds=0.

5. I have added some smoothing to the Meyer mos capacitor models.
This improves convergence.   The down side is that sometimes the
answers are different.  It is probably a little better, when
considering closeness to reality, but it is still Meyer's model.

6. MOSFET parasitic diodes are now the same as those used in Spice.

7. There are subtle changes in the diode model.  I think this usually
improves convergence.

8. Charge calculation in Meyer capacitors and diode capacitiors is now
supposedly Spice 3 compatible.

9. An error in BSIM3 scaling has been fixed.


Some things that are still partially implemented:

1. Internal element: non-quasi-static poly-capacitor.

2. BSIM models, charge effects.


Bugs (nothing new, but needs repeating):

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. The makefile does not set up the proper link for the model
compiler.  You need to do it manually.

3. A bad setting of "vmax" and "vmin" can lead to convergence to a
nonsense result.  It is not as bad now as it used to be.
2000-11-22 21:15:13 +00:00
dmcmahill
aba75bf906 add HOMEPAGE 2000-11-22 18:55:04 +00:00
dmcmahill
d832dbdfc7 add and enable ipal-current 2000-11-19 17:06:28 +00:00
dmcmahill
19afe34e04 initial import of ipal-current-20001118
note, this is the development snapshot version of the package.  As stable
releases become available, there will be a ipal package.

from DESCR:
Icarus PAL is a set of libraries and utilities for manipulating PAL
designs.  The design information is conveyed in the industry standard
JEDEC file format.

Icarus PAL accepts parts descriptions that detail the device
structure, capabilities and pinouts so that software can be written to
work relatively independent of the part type. These parts descriptions
also describe how the fuses of a device are arranged, so that
synthesis tools can generate fuse maps to get the desired
functionality and pinout. See as a commented example the description
in the pa/pal22v10.pa description.

The ipaledit program takes as input a .JED file and displays the PAL
design. It shows the sum-of-products as a fuse matrix, and shows the
macrocell configurations in convenient form.

The ipalrev program takes as input a .JED file and reverse compiles
it, producing a Verilog program that logically describes the
design. This use useful for moving old designs to new tools.

Please note that this package is a development snapshot and while it contains
the latest and greatest features, it may be buggy as well.  When
available there will be a seperate ipal package which will be made of
the stable releases.
2000-11-19 17:05:28 +00:00
dmcmahill
aecdabed34 add and enable boolean 2000-11-18 18:00:11 +00:00
dmcmahill
8edae9218a initial import of boolean-6.2.
from DESCR:
The program is a viewer and editor for:
  -GDSII files, KEY files (own made extended GDSII in ascii format) and
   DAVID MANN files (flash format for mask plotting)

Features:
 -It allows to draw primitives on a chosen layer, and to manipulate them.
 -stack oriented tools allows zooming while drawing new primitives and
  editing them. This also makes it possible to draw extremely accurate.
 -primitives on the layers or/can be transparent  colors and fill patterns
  can be set on a layer basis
 -drawing order of layers can be changed
 -a hiearchy of pictures, named structures, can be handled and manipulated
 -saving as a bitmap and other formats.
 -measuring distances
 -adding user defined properties to primitives


 -boolean OR AND EXOR A-B B-A
 -positive and negative process offset
 -circle recognition in polygon and polyline data.
 -move copy delete etc.
 -transformations (scaling , rotation , moving)
 -flatten the hiearchy of the drawing
 -drivers for CNC (laser and milling machinery)
2000-11-18 17:57:27 +00:00
dmcmahill
194313482a add and enable ng-spice 2000-11-14 14:32:13 +00:00
dmcmahill
0ce7ea6b69 add conflict with ng-spice 2000-11-14 14:30:14 +00:00
dmcmahill
b08f468e9e initial import of ng-spice-13.
NG-SPICE is the program being developed as the replacement for Berkeley
SPICE.  Using the Berkeley code as a starting point, the NG-SPICE team
is working on improving the build system, adding to the models, and
improving the analysis capability.

SPICE is a general-purpose circuit simulation program for nonlinear dc,
nonlinear transient, and linear ac analyses. Circuits may contain resistors,
capacitors, inductors, mutual inductors, independent voltage and current
sources, four types of dependent sources, lossless and lossy transmission
lines (two separate implementations), switches, uniform distributed RC
lines, and the five most common semiconductor devices: diodes, BJTs, JFETs,
MESFETs, and MOSFETs.
2000-11-14 14:28:14 +00:00
dmcmahill
f822dd9e38 add and enable xcircuit 2000-11-02 14:02:04 +00:00
dmcmahill
7254993bc1 Initial import of cad/xcircuit.
The program xcircuit is a generic drawing program tailored especially
for making publication-quality renderings of circuit diagrams (hence
the name).  The output is pure PostScript, and the graphical interface
attempts to maintain as much consistency as possible between the X11
window rendering and the final printer output.

xcircuit is mouse, menu, and keyboard-driven, with the emphasis on
single-character keyboard macros.

Package provided by Jason Beegan <jasontd@indigo.ie> in PR 11383 with
some minor modifications by me.
2000-11-02 13:59:54 +00:00
dmcmahill
6dda3d3024 add LICENSE and NO_{SRC,BIN}_* to comply with the license. Pointed out by
Jason Beegan <jasontd@indigo.ie> in PR pkg/11407
2000-11-02 03:49:09 +00:00
dmcmahill
4056254343 update to 20001021 snapshot of verilog-current
from the authors announcement:
-----------------------------

The loadable target module API is starting to take shape.

That is the major thrust nowadays with Icarus Verilog, after all, so
progress is being made here. The biggest change is in fact a philosophy
change. The target module now needs only a single symbol -- target_design --
to receive the whole design. The target module can from there and using
the API access the entire design randomly. So if you wanted to implement
a graphical browser, you could:-)

I've added support for the l-values of procedural assignments, and also
back pointers to objects that reference ivl_nexus_t objects. This closes
the loop so that there should be no dead-ends in the design.

I've clarified and expanded the descriptions in the ivl_target.h header
file. There should be just about enough documentation to properly used
all the various types. (Have any of you tried to write GIMP plug-ins?
Have you looked at the libgimp header files? Have you seen any comments
there?-( I won't ever sink to that level, I hope.)


I've also imtegrated updates to the Cygwin32 port to support loadable
targets under Cygwin32. After much struggling, Venkat managed to discover
the secret magic needed to get load time symbol binding to work. Hopefully
I didn't break it too bad when I changed the API again. (I think it is
still fine.)
2000-10-27 03:59:47 +00:00
skrll
8b4e662680 Correct EVAL_PREFIX entry. 2000-10-22 09:20:18 +00:00
skrll
fa2140a0cb Update to use new qt-2.2.1 libraries. 2000-10-19 08:06:09 +00:00
rh
813d4e69c1 Add and enable eagle 2000-10-15 12:18:39 +00:00
rh
b715a6746d Initial import of eagle-3.92r0, an easy to use schematics and printed
circuit board editor.
2000-10-15 12:17:26 +00:00
dmcmahill
abdd9fbf7b move the docs out of FILESDIR to a seperate tarball instead of making the
pkgsrc for this pkg be nearly 1Mb.  Thanks to Thomas Klausner for pointing
this out.
2000-09-01 15:05:56 +00:00
dmcmahill
56c5ee7e23 -fix building of manpage (don't try and use docbook-to-man). Instead supply
the resulting manpage as a file.
2000-08-31 17:21:58 +00:00
dmcmahill
8dba45a0e5 remove depends on teTeX and add a patch to not run latex. Provide the docs
as files instead of requiring the user to install one of our largest packages
just to build them.  Note, in the previous version of this pkg, the docs generated
with latex weren't even being installed (!).
2000-08-31 14:55:29 +00:00
dmcmahill
6d4c86eef3 update to gwave-20000809.
Notable changes since the last pkged version are:
Gwave NEWS --- history of user-visible changes.  -*- text -*-

New in 20000518:
- Logarithmic scales working on both X and Y axis.

New in 20000509:
- First public guile/guile-gtk release.
- Popup menu on visible-wave button can activate per-waveform dialog box
- Logarithmic scale on Y axis working; on X axis it isn't quite there yet.

New in 20000108:
- Merged in guile and guile-gtk; the GUI is mostly written in guile now.
- Some additional improvements to reading of HSPICE input.  Reading partial
files from simulations still in progress works for ascii .tr0 files.
2000-08-30 17:49:36 +00:00
dmcmahill
01ad1fed7c make sure that the configure script is able to correctly link the test
Qt program on ELF platforms.
2000-08-30 16:19:42 +00:00
dmcmahill
5e50bdbf36 Update to vipec-2.0.4
Changes included in version 2.0.4
---------------------------------
- Fixed printing under windows.
- You can now plot the real and imaginary parts of the
  circuit input and output impedances

Changes included in version 2.0.3
---------------------------------
- Moved to Qt version 2.1.0
- The help browser is now integrated into the ViPEC application.
- Fixed a bug in calculating the circuit output impedance.
- Input and output impedances ouput to a graph now works.


Changes included in version 2.0.2
---------------------------------
- Improved data storage of graphs and Smith charts
- Double buffering implemented for drawing of graphs
  and Smith charts to reduce flicker.
- Improved font management
- Fixed display bug in Table view
- Fixed bug with output to 2 port parameter files under Windows
- Added support for Group Delay, see help files for more details
  as well as sample circuit: group_delay.ckt
2000-08-28 19:52:33 +00:00
jlam
06f0a4c3c2 Use new PERL5 variable instead of ${LOCALBASE}/bin/perl. 2000-08-27 02:46:03 +00:00
jlam
1efc07d1e0 s/USE_PERL/USE_PERL5/ 2000-08-26 03:26:44 +00:00
tron
f0b9164cf1 Use "USE_XPM" option instead of direct dependence on the "xpm" package. 2000-08-23 23:14:21 +00:00
dmcmahill
a042bfc316 Update to 0.27. Changes are (from the release notes):
ACS 0.27 release notes  (06/03/2000)

New features:

1. BSIM3 model, DC.
They work for AC and transient analysis, but only the DC effects
actually work.  The next release should have the charge effects.  For
now, it fakes it with Meyer's model.

2. A first cut at a model compiler, to aid in development of new
models.  Models are described in a ".model" file, which is processed
to automatically generate the ".h" and ".cc" files.  This version
fully handles the ".model" statement part of it, but leaves the device
and common sections the old way.  Eventually, the entire process will
be automated.  The old way still works.

3. "Fit" behavioral modeling function, which fits a curve to a set of
data.  You can specify the order of the fit, which is piecewise
polynomials.  For now, the order may be 1 (linear, like PWL) or 3
(cubic splines).  You may also specify the boundary consitions.

4. More probes.



Some things that are partially implemented:

1. Internal element: non-quasi-static poly-capacitor.  It is needed by
the BSIM3 and EKV models.  Eventually, it will be available as a
netlist item, but not yet.



Bug fixes:

1. PWL could fail if there were duplicate points at the beginning.  It
still does, but gives a reasonable error message.

2. Some "dot commands" were ignored if there were spaces before the
dot.  This was particularly annoying if the line was supposed to be
".end" which should make it exit.  It didn't, leaving it in
interactive mode, a major annoyance in a script.



Other improvements:

1. There is a change to the way integration in capacitors is done.  It
is now strictly based on charge (i = dq/dt).  The old version was
based on capacitance (i = C * dv/dt) which is strictly incorrect.  The
dC/dt term was missing (i = C * dv/dt + v * dC/dt).  This is a
non-issue when C is constant.

2. More documentation on internals.


Changes that I think are improvements, but some may disagree:

1. The command line is a little different.  In the old version,
"acs file" would run it, and whether it exited or not depended on
whether there was an ".end" line.  Now, by default, it just loads the
file in preparation for interactive use.  If you want batch mode, say
"acs -b file".

2. The regression suite is included in the standard distribution.



Changes that are not really improvements:

1. Due to the model compiler, the build process is a little more
complicated.  To do a complete build, you must build the model
compiler first, then the simulator.  If you are not making any new
models, you can probably get away with just building the simulator.
This will change in a future release.



Bugs:

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. The makefile does not set up the proper link for the model
compiler.  You need to do it manually.


Hot items for a future release (no promises, but highly probable):

1. Charge effects in BSIM models.  They are computed, but not loaded
to the matrix.

2. Completion of model compiler, and its documentation.

3. Completion of multi-rate.

4. Homotopy methods to improve convergence.

5. Transmission line accuracy and speed improvements, using a step
control mechanism similar to that used for capacitors.

6. Parameterized subcircuits and defined parameters.

7. A "trigger" element, so time dependent values can be triggered by
the circuit, as an alternate to simple time.



To reach me, try this email address:
        aldavis@ieee.org

	ACS ftp sites:
	ftp://ftp.geda.seul.org/pub/geda/dist/acs-0.27.tar.gz
	http://www.geda.seul.org/dist/acs-0.27.tar.gz
	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/acs-0.27.tar.gz
2000-08-16 22:02:45 +00:00
dmcmahill
b9b57873b4 - make sure that the local includes are always searched first to avoid picking
up some possible conflicting headers.

- while I'm here, make me the maintainer (instead of 'packages')
2000-08-16 05:09:30 +00:00
wiz
7beb6e50dc USE_PKGLIBTOOL -> USE_LIBTOOL.
Use LTCONFIG_OVERRIDE for fewer patches.
2000-08-16 02:08:52 +00:00
wiz
f2e8cd4168 USE_PKGLIBTOOL -> USE_LIBTOOL. Sort PLIST. 2000-08-16 00:07:32 +00:00
wiz
a4d1c76770 USE_PKGLIBTOOL -> USE_LIBTOOL 2000-08-15 23:46:03 +00:00
wiz
a24e57d020 USE_PKGLIBTOOL -> USE_LIBTOOL 2000-08-15 23:31:47 +00:00
wiz
0c226db381 USE_PKGLIBTOOL -> USE_LIBTOOL. Sort PLIST. 2000-08-15 23:07:50 +00:00
wiz
c750c08ad9 Remove (sometimes FreeBSD) packager's (porter's) name from DESCR.
If anywhere, it should be the value of MAINTAINER in the Makefile.
Some minor cleanup/reformatting while I'm here.
2000-08-11 01:07:45 +00:00
wiz
188c4dff9b update HOMEPAGE 2000-08-10 22:39:24 +00:00
rh
cba4e09af8 Pull in teTeX instead of teTeX-bin to make this compile properly.
Hopefully fixes pkg/9873 (awaiting confirmation from the author of the PR)
2000-08-08 07:39:24 +00:00
rh
6b2b7689ff Fix target for teTeX build dependency.
XXX: We really need a supported way to list a specific package for
XXX: build dependencies.
2000-08-07 10:58:59 +00:00
dmcmahill
5cde8a1988 update to verilog-current-20000805. Changes since the last packaged snapshot
are (from the authors announcements):

--------------------------------
Icarus Verilog snapshot 20000721
--------------------------------
(first snapshot after the 0.3 release)

This snapshot adds no new features or language support, but is working
towards more precise interpretation of scheduling and value propagation
details.

The first thing I've done is redesign the internal Link structure that
is used to connect the internal netlist together. There are some aspects
of the nexos of a set of links that were carried by the Link class or
by external functions. These have been moved to the new Nexus class and
linking and structure has improved because of it.

This has led me to modify the handing of signal initial values. In practice,
the time-0 value of a net is a property of the nexus instead of the objects
that are connected together, so I have implemented it so, and in the
process fixed a bunch of initial value problems.

One new feature that is added is support for non-constant delay expressions.
Now, you can even have something like ``#($random%256) <statement>'' and
expect it to do what you think. (So now the telephone example in James
Lee's "Verilog Qickstart" actually works!)

I've added some missing support for various operators in constant expressions.
I've also added some more of the friends of $random for those folks who
do stochastic modeling.

Constant propagation carries some new bug fixes, and some new smarts. It
is for example able to detect a mux with a constant 'bz input and replace
it with bufif devices, and other clevernesses with logic reduction.

--------------------------------
Icarus Verilog snapshot 20000729
--------------------------------

Like I said, the `timescale compiler directive now more or less works.
You can now specify timescale for modules, and the compiler will figure
out a global design resolution and scale your time values to match. The
VCD dumps should reflect the chosen resolution automatically. Floating
point notation is not yet supported, we'll see if that turns out to be
a problem.

A problem with `timescale support is that the compiler will allow unitless
modules. This can happen if you have `timescale late in the source file.
The default unit is the not-very-intuitive 1s. Frankly, I don't like the
`timescale semantics for this sort of reason, but its an accepted
standard, so I'm stuck with it.

I've also added support for min:typ:max expressions. The compiler chooses
one of the three expressions at compile time, based on a compile time
switch. You can ask for min typ or max values via the "-Tmin" etc. switch
to the iverilog command. If you do not specify a switch, the compiler will
choose the typ values but print warnings. The -Ttyp switch will suppress
the warnings.

I have fixed yet more net initialization bugs. These are getting pretty
subtle, now, so you should have a hard time tickling any remaining errors
here. I've also fixed a nasty and subtle bug in event expression support.
This bug only happened when the design had many event expressions with
many conjunctions.

Although they are not ready for use, I have made some forward progress
with disable statements. I now at least elaborate them, so now I just need
to figure out how to make the run-time work out. That's the hard part,
I'm afraid.

--------------------------------
Icarus Verilog snapshot 20000805
--------------------------------
I've finally dealt with a problem that's been nagging at me for a while.
Until now, it has been possible that excessively clever hierarchical
references into and out of task scopes could confound symbol lookup.
I think I finally put that to rest, and in the process reorganized the
netlist format for holding task definitions. It should no longer be
possible to confuse name binding in Icarus Verilog.

Found and fixed a silly bug in elaborating  e?a:'bz and e?'bz:a expressions
into bufifN devices. I got the sense of the enable wrong in one of the
cases. All fixed (and the test suite updated to catch this silly mistake:-)

tri0 and tri1 nets should now work properly. These are mostly a run-
time issue which I solved using resolution functions. This is actually
a technique that I borrowed from VHDL.

For those of you doing XNF synthesis, I fixed up my FF/RAM detector to
allow <= assignments in always blocks. This is in fact the preferred way
to describe DFFs as <= more accurately simulates their RTL nature.

Also found and fixed a few DOS \r\n line end issues in the lexical ana-
lyser and the preprocessor. We sometimes forget how tricky these line-
end problems can be, and compiler directives are the most susceptible.
This problem most likely occurs when you transport files from a DOS
environment. (The MAC folks haven't complained much, so either I got it
right for them, or Kato took care of the problems for me:-)
2000-08-06 15:43:34 +00:00
rh
bc3c99f1c2 Specifically depend on teTeX-bin>=1.0.7 during build to prevent picking up
random crap.  Hopefully fixes PR 9873 by Michael Wolfson
<mw34@cornell.edu>.
2000-08-03 18:19:55 +00:00
dmcmahill
56ca143250 -update to Dinotrace 9.0k
Changes since 9.0g are (from the NEWS file):

  Changes in Dinotrace 9.0k  7/17/2000

        Fixed bug with $comment after $enddefinitions. [Harunobu Miyashita]

        Fixed Tempest reading signals over 128 bits. [Ta-Chung Chang]
        This bug was introduced in 9.0i.

        Fixed portability bug with Value Examine showing 0s. [Ta-Chung Chang]

        Fixed Verilog reading with large time intervals. [Matthias Wenzel]
  Changes in Dinotrace 9.0i  5/1/2000

        Major speed improvement in reading Tempest traces.
        All trace formats now have less processing when building busses from
        individual bits. [Steve Hoover]

        ASCII traces assume extra time so last line is not lost.
        ASCII traces which had timestamps would not show the last state of the
        bus, as Dinotrace did not guess at how long that last state was valid for.
        Now, it looks at the smallest time step in the trace, and uses that
        as a guess at the timescale. [Pani Kodandapani]
2000-07-26 20:58:34 +00:00
tron
ebcef6eadf Depend on version 4.0 or newer of the "readline" package. 2000-07-20 15:40:58 +00:00
agc
78748d628a Instead of the clunky SHOW_PKG_PREFIX, introduce an EVAL_PREFIX definition,
which takes entries of the format <make-definition-name>=<pkgname>. This
has not been added to MAKEFLAGS because (a) premature optimisation is the
root of all evil, and (b) because the .for loop used to implement this
shows the wrong results when multiple prefices are evaluated.

Modify all the package Makefiles to use EVAL_PREFIX, thereby simplifying
them considerably.

ALso simplify the logic to calculate the prefix as well.
2000-07-15 20:39:13 +00:00
agc
69cbb39071 The previous method of using a make target for finding a prerequisite
package's prefix would not work as part of the environment specification
via MAKE_ENV (as it would not be executed in the correct directory).

Fix this by invoking pkg_info(1) directly, not via an intermediate make(1)
step - this is not as clean, but more effective (i.e. it works).
2000-07-14 16:04:19 +00:00
agc
4aeac45f89 Make the determination of the pre-req package's installed directory be
a bit more user-friendly.

Introduce a show-{gtk+,imlib,kdebase,qt1,qt2,xpm}-prefix target in
bsd.pkg.mk, and use "${MAKE} show-*-prefix" in package Makefiles.
2000-07-11 14:53:35 +00:00
dmcmahill
2892ff05e1 make iverilog give proper return codes. 2000-07-11 14:35:58 +00:00
agc
5ee37a44d2 Use X11PREFIX in preference to X11BASE, so that this package cooperates
with xpkgwedge.
2000-07-11 09:19:14 +00:00
agc
f1bc5a3e73 Find qt2 prefix via pkg_info(1), rather than hardcoding it as ${X11BASE}.
Pointed out by Dave Sainty <dave@dtsp.co.nz>, munged slightly by me.
2000-07-10 16:03:47 +00:00
hubertf
0b6268e643 Fix typo in Makefile - patch mailed back to the pkg's Author 2000-07-06 12:24:30 +00:00
dmcmahill
f5b7f311d1 make the default timescale in the output VCD files be 1ns for compatibility
with viewers such as Dinotrace.
2000-06-30 19:55:04 +00:00
dmcmahill
89c6f16070 update to verilog-0.3
Changes, from the authors release statement, are:

This release is a significant improvement over previous releases of
Icarus Verilog, including better language coverage, improved
synthesis, and increased performance.

This release adds to the 0.2 release support for Verilog-2000 style
parameters and parameter overrides, defparam, and localparam,
including proper handling of scoping rules. Also, strength modeling is
added, with support for strengths attached to gates and continuous
assignments.

Combinational user defined primitives have been added to complement
synchronous primitives that were already supported. Support for
primitives should now be fairly complete.

Force/release/assign/deassign syntax now works properly, allowing for
more sophisticated test bench design and debugging.

Bug fixes have been numerous and varied. This release of Icarus
Verilog is considerably more robust then previous versions, thanks to
diligent testing and bug reporting by users all over the world.
2000-06-22 03:15:31 +00:00
dmcmahill
6af29613f4 fix the patch so it works.... 2000-06-12 20:30:41 +00:00
dmcmahill
da153cfaec fix the .info file so that
install-info --delete %D/info/dinotrace.info %D/info/dir
actually work.
2000-06-12 20:10:57 +00:00
dmcmahill
4e5c943259 compile with -fPIC instead of -fpic. Makes things work right on sparc. 2000-06-12 00:41:09 +00:00
rh
74add3b300 s/USE_LIBTOOL/USE_PKGLIBTOOL/
Add a new USE_LIBTOOL definition that uses the libtool package instead of
pkglibtool which is now considered outdated.
USE_PKGLIBTOOL is available for backwards compatibility with old packages
but is deprecated for new packages.
2000-06-01 11:23:11 +00:00
dmcmahill
f403b95eb9 update to verilog-current-20000527
changes since last packaged snapshot are (from the authors announcements):

Icarus Verilog 20000527 Snapshot
----------------------------------

It's snapshot time!

    <ftp://icarus.com/pub/eda/verilog/snapshots/verilog-20000527.tar.gz>

This snapshot doesn't add any new features, but fixes a few bugs. I've
taken care of a bunch of bug reports with an eye towards getting this
polished up for a 0.3 stable release.

I fixed some problems with elaborating the condition expression of a
ternary operator. This was a long-standing bug that only happened in
structural (i.e. continuous assignment) situations.

I've also done some merging of event expressions. The netlist format makes
NetEvProbe and NetEvent objects for event expressions, and it was making
more then were needed. I've done some merging, though I have some more
things I can do on this front. I'll be working on it for the next snapshot.

I found a whole bunch of bugs with parsing expression lists, for example
module port expressions. The result is actually a smaller parser:-) So
module port expressions should be parsed and elaborated correctly, now.

In the vvm code generator, I've found some room to optimize the generated
code. I detect duplicate initialization of a nexus, and prevent the
excess code being generating. In one slightly degenerate example sent to
me, this change reduced the generated C++ by more then 6 times. I was
pretty amazed.

I've also slightly optimized the special case of behavioral assignments
from simple signal expressions. This removed a few lines of generated
code per assignment. This sort of thing helps compile time performance.


Icarus Verilog 20000512 Snapshot
----------------------------------
This is mostly a bug fix snapshot. No new features here, but I'm starting
to buff it up shiny for an upcoming 0.3 release. It looks like I'll be
starting to do release candidates soon, so test this snapshot hard, folks!

    <ftp://icarus.com/pub/eda/verilog/snapshots/verilog-20000519.tar.gz>

I re-implemented flip-flop and RAM synthesis, the new technique should
allow me to make much more complete synthesis. It's still not the nifty
full-scale synthesis I hope to do some day, but it should catch some of
the bigger synthesis problems.

I've also added to XNF synthesis the ability to detect start-up initial
values for flip-flip devices. This causes it to generate INIT= properties
for the devices as appropriate.

I've improved the VVM code generated by the t-vvm code generator. I've
managed to reduce the size of the code generated for some larger models
by 30%, and I should have improved run-time performance in the process.
This should help.

I've also found (thanks to bug reports) and fixed some module port issues.
I bet you can't dream up legal port binding that Icarus Verilog can't
handle:-) This issue should be taken care of.

VPI now includes the ability to set registers. I needed this to implement
a PNG image I/O module. I'm still working on that, I'll distribute it
separately when it is in better shape.

Various other bug fixes in iverilog and elsewhere. Several bug fixes
in the VVM runtime, including some support for the % operator.

I've done some updates to documentation to reflect some of the changes
since 0.2, so you can take a look at that too.
2000-05-30 23:43:43 +00:00
dmcmahill
fd3cc12a2d make all pkgs for which I'm the maintainer point to my netbsd email. 2000-05-12 16:07:33 +00:00
dmcmahill
df73e5f2de update to 20000506 snapshot.
changes from the last packaged snapshot (from the authors announcement):

---------------Icarus Verilog 20000506 Snapshot------------------
A lot of internal reworking has been done on this, so there might be
problems with things like symbol binding. But I think this is much better
then the last snapshot. I am once again starting to think about a
stable release. I'll shoot for the end of May, so if there is anything
you want to see in that release, start pestering me.

The big job has been a rewrite of the symbol table that holds signals.
The previous elaboration and lookup code for signals/memories did not
work properly when hierarchical names were used in the context of tasks
and functions. Also, the old table kept all the signals is a single
lookup table that failed to take advantage of knowledge of the current
scope.

All that is changed. signals are now elaborated after parameters and
before processes, so all hierarchical accesses should work properly now,
no matter how contorted. I've also fixed some bugs with function/task
parameter passing.

I've also added some infrastructure for supporting system functions, and
I've added an implementation of the $random system function. This currently
uses the native random(3) C library function, but once I get access to the
standardized algorithm, I'll implement that.

There are also a few fixes to elaboration of ternary operators. They were
a bit touchy about result bit widths.

A few preprocessor bugs have been fixed, especially related to the
`ifdef/`endif tokens. People are all the sudden starting to use the
Icarus Verilog preprocessor, so some long-standing bugs have been caught.

The iverilog command had a few path problems fixed, and the remaining
necessary switches have been added. I really encourage people to start
using iverilog in place of verilog. The test suite now uses iverilog to
run the compiler, so should you. There is a man page.
2000-05-11 01:33:49 +00:00
dmcmahill
9f9f676ac4 distinguish these 2 packages as "development snapshot" and "released" so
its more obvious of the difference.
2000-05-10 23:19:00 +00:00
dmcmahill
0bf61c411c Update to verilog-current to the 20000428 snapshot.
The many bug fixes and changes since the last packaged snapshot
are (from the authors announcements):

Icarus Verilog 20000428 Snapshot
--------------------------------
This one clears up some pretty nasty and subtle bugs. If you've been
sending me bug reports, you're probably turning blue holding your breath
in anticipation of this snapshot. Breath in, Breath out.

Hooray, both force and release work properly. I'm happy about that,
release worked out a bit easier then I expected. These should be useful
to test bench designers.

The big news this past week, however, has been bug fixes. Lots of bug
fixes. I got lots of bug reports and I killed pretty nearly all of
them. There were lots of nasty icky problems with passing parameters
to/from tasks, especially when memory words were involved. I fixed up
a whole bunch of these, and now parameter passing should work pretty
will, modulus the few remaining bugs I'm not seeing yet.

The iverilog command is in better shape now, and I encourage people
to use it in place of the older "verilog" driver script. There is a
man page for iverilog, and it supports all the switches needed to do
simulation and synthesis. I would like people to start getting this
driver well tested and the bugs worked out, because it is going to be
the main driver come the next stable release.

Some neat new XNF features are happening. I synthesize identity compare
in XNF, and a few other missing operators. But the really neato part is
that I've taught Icarus Verilog to generate PIN records for module
ports, so that you can make XNF macros out of Verilog source. If you
elaborate a module that has ports, the XNF code generator will automatically
generate the necessary symbols so that external XNF tools can link the
generated output into larger designs. I've compared the XNF files from
Icarus Verilog with those generated by Abel, and they appear the same
to my eyes.

Icarus Verilog 20000421 Snapshot
--------------------------------
Bunches of bug fixes, and a few new features come with this snapshot.
This snapshot makes headway in both simulation and synthesis. I'm
also starting to make a big dent in my todo list for the 0.3 release.

The bunches of little bug fixes in this snapshot are a direct result of
bunches of bug reports this past week that I was able to deal with. If
you've been reporting bugs, this may contain your fix.

I redesigned the process implementation in the vvm backend, so the generated
code is a bit cleaner, and threads are lighter weight. And while I was at
it, fork/join now should work properly. I know there were a bunch of you
out there asking for this, so here it is.

I've incorporated into this release improved runtime support for integer
multiplication, it should now work now matter how incredibly enormous
you make the operands. Thanks to Chris Lattner for contributing the generic
multiply.

I've improved synthesis somewhat, there were some expressions in some
contexts that were not getting synthesized by the -Fsynth functor. This
is fixed, and I'm also starting to add some XNF specific optimizations
into the -Fxnfio functor. I do sensible things with identity compare,
for example.

I've added the program ``iverilog'' to be a new driver program written
in C instead of as a shell script. This driver supports the -tnull,
-txnf and -tvvm targets, as well as the -E flag that causes only the
preprocessor to be run. This should be interesting to those of you who
are looking for a working preprocessor. I'm still working on the -D and
the -I flags, but I expect this program to replace the verilog.sh script
before the 0.3 release.

Icarus Verilog 20000414 Snapshot
--------------------------------
All event handling is now complete. Yet another subject is behind me, and
on I go. By complete, I mean that named events, edge triggers, wait, and
lists of events all work. This took a little longer then I expected, so
some of the other things I wanted to work on had to wait.

As a side effect of event and thread scheduling work, I changed the way
that threads are generated in vvm. The result is that threads should be
a little faster at run time, and a lot faster at compile time. A *LOT*
faster at compile time. (Apparently, Verilog XL is still considerably
faster, but hey, I'm working on it.)
2000-04-30 18:15:17 +00:00
drochner
fcf7b69e2c update for new qt2 layout 2000-04-28 10:11:02 +00:00
dmcmahill
4c7ec8f1ac sync with qt-2.0.2 location 2000-04-14 21:12:27 +00:00
dmcmahill
0f42490879 update to verilog-current-20000409.
changes since the last packaged snapshot include:


Icarus Verilog 20000326 Snapshot:
--------------------------------
The VVM backend rewrite continues. More templates are gone, and the
bit functions have been pretty much rewritten. The vvm library now handles
bit values with strengths, and most of the devices to the right things
with those strengths.

The most obvious implication of this is that you can write multiple
drivers to a net and expect the values to be properly resolved, and in
particular the HiZ value works as it should. So I am well on the way to
completing strength modeling support.

What is still missing is support for strength specifications in the
Verilog source. Although the parser supports the strength related keywords,
they are not passed on to elaboration, or used to generate drivers with
the proper strengths. So that's in the works.

While doing all this VVM rewrite, I've made the generated code considerably
smaller. And of course fewer templates are used. The upshot of this is that
compiles of larger designs should go a whole lot faster. This is important
because people are using Icarus Verilog for increasingly larger designs.
On some larger examples, I've achieved more then 3X compile time improvement.

Icarus Verilog 20000409 Snapshot:
--------------------------------
Named events now work!  Event object declarations and trigger statements
are fully supported, and blocking on a single event also works. I'm not
up to named events in event lists because I'm in the midst of redesigning
the way events on nets and regs are implemented. However, the common case
works fine, so there you are.

I've also added support for some more arithmetic operators. Division and
Modulus now work in many contexts, and are not far from working everywhere.
Also, comparison operators work in places they used to not.

There was a compile error in memory objects that managed to slip through
a couple snapshots, that I finally cured. The problem was pretty gross,
but somehow not quite tickled by my tests. Oh well.

I've integrated some VCD improvements from Anthony Bybell. Some of you
recognize the name as the author of GTKWave, so if he says VCD works like
so, then that's how VCD works:-) Anyhow, he fixed the VCD output to be
more portable, and also a bit smaller when vectors are involved. He also
fixed some bugs with multiple calls to $dumpvars.
2000-04-09 23:11:49 +00:00
dmcmahill
29a4955769 make qt-2.0.2 package conform to hier(7) and update pkgs which depend on
it accordingly.
2000-04-05 15:22:42 +00:00
dmcmahill
387739bb6e add 1 more missing lib 2000-04-02 04:38:56 +00:00
dmcmahill
30dc8181c9 remove -O2 when compiling "analyser.cpp" because it causes
the assembler on pmax (1.4.1) to never complete.
2000-04-01 19:35:11 +00:00
dmcmahill
7f947df158 various ELF fixes.
- Make sure -Rpath is done correctly
- Make sure qt libs are found
- Make sure -lz -lpng -lSM are included as libqt needs functions
  from these libraries.
2000-04-01 18:53:59 +00:00
dmcmahill
1d646b8454 add missing configure argument for Qt location. 2000-04-01 05:40:52 +00:00
dmcmahill
6c2510b272 update to vipec-2.0.1
the previous pkg was based on a development version of vipec.  This version
is considered a stable release.
2000-03-28 21:48:41 +00:00
dmcmahill
07c67df8fd make qt1 install in its own directory instead of renaming its installed
components.  This allows users of Qt to specify QTDIR=/path/to/qt instead
of having to patch all configure scripts and makefiles to look for alternate
names.  This is the recommended approach from Troll Tech (Qt authors).

update pkgs which use qt1 to reflect this.
2000-03-28 00:09:19 +00:00
tron
782b73a94b Adapt to new handling of "${CONFIGURE}". 2000-03-27 08:54:53 +00:00
dmcmahill
bcc1530ee8 Update to acs-0.26. Changes (from ${WRKSRC}/doc/relnotes-026) are:
New features:

1. BSIM1, BSIM2 models -- DC only.
2. New elements:
        trans-capacitor
        voltage controlled capacitor
        voltage controlled conductance
        voltage controlled resistor
3. Optional new syntax, with type first so component labels can start
with any letter, and the choice of components is no longer limited by
the 26 letters.  This was necessary for a clean syntax
for #2.
4. Some new parameters on existing devices, also a side
effect of the BSIM work.
5. The manual in HTML form.  The manual source is still in LaTeX,
which can be used to generate HTML, PDF, Postscript, or many other
formats.

Bug fixes:

1. An error causing truncation error to be underestimated has been fixed.

Other improvements:

1. MOSFET model evaluation is a little faster, due to use of one of
the new elements to replace several old ones.  I have seen 40%, but
20% is more likely.  The improvement is most evident on busy circuits,
where the ACS speed enhancements based on latency exploitation
contribute more overhead than their value, that is .. the type of
circuit that has run faster in Spice than ACS.

2. More documentation on internals.

Changes that I think are improvements, but some may disagree:

1. Truncation error based step control is disabled when Euler's method
is selected.  The justification for this is that the reason for
selecting Euler's method is to avoid the artifacts of high order
methods on "stiff" poles.  Without this change, a "stiff" pole would
cause an unreasonably small step size.  This did not appear to be much
of a problem in the old release because the use of an incorrect
formula for estimating truncation error.  A "stiff" pole is one that
has a response so fast it can be thought of as instantaneous.

2. The "help" command, with its 4 year old help file, has been
removed.  The concept is really obsolete.  With the HTML form of the
manual, a full online manual is a better replacement.
2000-03-26 15:50:21 +00:00
dmcmahill
eb6957f232 Update to verilog-current-20000318.
Notable changes since the last pkg are (from the snapshot announcement):

Parameters are complete.
What this means is that I finally got around to supporting defparam,
and while I was at it I rewrote the entire parameter handling and added
the parameter support included in 1364-2000.

I have rewritten major portions of the VVM backend. The vvm_nexus class
has been introduced to the fray, and all the device implementations in
the VVM library now use the nexus to drive and receive values. An advantage
of this scheme is that the t-vvm backend code (in ivl proper) is simpler,
and so is the generated C++ code.

I also removed most of the template classes. This proved to be a huge
compile-time benefit (though compiling twice as fast really only matters
for large programs) and it doesn't seem likely to hurt run-time performance.
A few remain, either because they seemed harmless (the N-wide logic gates)
or I couldn't yet figure out a good way to replace them (vvm_bitset_t).

A side benefit of this is that the vvm library may now be a modeling
library that ordinary humans can use to write their models in C++. This
may provide the unexpected benefit of heading me towards incremental
compilation of designs. So who was it who was beating me over the head
asking for that?-)

I also fixed a few minor problems with the preprocessor. Those of you
who reported problems with `includes and `defines should check this out.
2000-03-25 21:09:16 +00:00
dmcmahill
7d380b604d move dependency from qt-1.44 to qt1-1.44 2000-03-23 22:07:12 +00:00
dmcmahill
44ec0c3784 add and enable dinotrace 2000-03-14 19:54:01 +00:00
dmcmahill
c10b352984 Initial import of dinotrace-9.0g
Dinotrace is a tool designed to aid in viewing Verilog Value Change
Dump (.vcd), ASCII, Verilator, Tempest CCLI, COSMOS, Chango and Decsim
Binary simulation traces.  It is optimized for rapid design debugging using
X-Windows Mosaic.
2000-03-14 19:52:47 +00:00
wiz
74874e66d9 remove trailing `.' 2000-03-09 13:47:31 +00:00
dmcmahill
e5caff965d fix a patchfile bug which caused parse.cc to be compiled twice. 2000-03-07 20:36:51 +00:00
dmcmahill
5f329b228c fix a bug in one of the patches that caused parse.cc to be built twice. 2000-03-07 18:24:48 +00:00
dmcmahill
30e0937c76 add and enable verilog-current 2000-03-07 16:10:37 +00:00
dmcmahill
1a0394f519 Initial import of verilog-current. This pkg is for the development snapshots
of the cad/verilog package.  Development snapshots are created quite frequently
in between stable releases.
2000-03-07 16:09:15 +00:00
dmcmahill
e911e8c42d Update to the released version 0.2 of verilog. I will be creating a seperate
verilog-current pkg to track development snapshots.

This version has minor bug fixes over the previous snapshot package.  Notable
$display of a memory element now works correctly and a bug in $readmemb has
been fixed.
2000-03-07 16:05:13 +00:00
dmcmahill
a345f65b96 add and enable gwave 2000-03-01 18:34:13 +00:00
dmcmahill
fbbf2e7d88 Initial import of gwave-19990927.
Gwave is a viewer for spice-like simulator output and other analog data

Gwave can read several file formats.  It attempts to guess file formats
based on filename, and then tries all file formats until one succedes.
These file formats are known:

CAzM transient output (*.[BNW])
HSPICE binary and ascii formats (*.tr0, *.sw0, *.ac0)
Spice2 and Spice3 "raw" output  (*.raw)
An ascii format with whitespace-seperated columns and column headings,
such as that produced by ACS (Al's circuit simulator). (*.acs, *.asc, *.ascii)

The "Export Postscript" and "Export PNM" options on the main File menu
provide the rudiments of output for inclusion in other
documentation.  They and simply write out files called gwave_out.ps and
gwave_out.pnm into the current directory.  In the future, a dialog box
will allow configuring the print and export output.
2000-03-01 18:33:24 +00:00
wiz
f895e15bb9 remove commented out SUBDIR += lines for packages that never got
converted from FreeBSD, or have been disabled since. Sorted lines
alphabetically, added some missing directories.
2000-02-25 01:04:11 +00:00
rh
cf664af401 Update gEDA to 20000220.
Changes include:

 * New dialog boxes by Matt Ettus:
   - A much improved attribute edit dialog box
   - A multiple attribute edit dialog box

 * Improved Hierarchy Support:
   - Hierarchy/Down Schematic
   - Hierarchy/Down Symbol
   - Hierarchy/Up

 * Text alignment.

 * Attributes are now required to have no spaces besides
   the equals sign on each side.  This shouldn't cause
   any problems for anybody.

 * Bunch of updates to the various gnetlist backends
   (basically all submitted changes have been integrated).
   Integration of JM Routoure's PCB backend work (Thanks!).
   Bug fixes and improvements by Matt Ettus, Stefan
   Petersen and Bas Gieltjes.

 * Added a bunch of contributed symbols.  Thanks to
   all that have contributed!  There are now 566 symbols
   in the library.

 * Documentation.  There are the beginnings of docs now.
   Here's the current list:
         attributes.txt -- Master attribute list
         fileformats.html -- gEDA file formats
         gschem.txt -- The start of a serious user's
         guide keymapping.html -- Stefan's keymapping
         document netattrib.txt -- A HOWTO on the net=
         attribute symbols.html -- The ever useful symbol
         creation guide

 * Bug fixes and improvements to some of the utils.

 * Lots and lots of bug fixes (and bug introductions).
2000-02-22 08:38:51 +00:00
rh
7364c75e77 Add and enable oregano 2000-02-16 20:56:41 +00:00
rh
a47a88d8a2 Initial import of oregano-0.11, an application for schematic capture and
simulation of electrical circuits
2000-02-16 20:56:05 +00:00
dmcmahill
81c179bc22 update package to verilog-20000212. This release incorporates most of the
NetBSD pkgsrc patches to the previous release.  Thanks to Stephen Williams
(the author) for his willingness to accept patches!
2000-02-14 22:55:31 +00:00
wiz
3333ba9b64 remove unnecessary article 2000-02-05 18:18:35 +00:00
dmcmahill
cfa3085942 add and enable cascade 2000-01-26 17:10:09 +00:00
dmcmahill
35d2c4cab8 Initial import of cascade-1.3.0
Cascade is a program for analyzing the noise and distortion
performance of a cascade of elements in an electronic system. A
typical application of cascade is the analysis of a receiver. A text
description of the receiver block diagram consisting of things like
amplifiers, mixers, and filters is entered into cascade. Each element
is characterized by its gain and optionally noise figure, and third
order intercept point. The program then analyzes the system and
produces a report detailing the performance at each stage.

A summary is produced which shows the relative contributions to the
total system performance of each block.  This allows easy
identification of what limits system performance.
2000-01-26 17:09:28 +00:00
dmcmahill
ed85ae5f6b add and enable verilog 2000-01-26 15:29:32 +00:00
dmcmahill
2530131eeb Initial import of Icarus Verilog.
Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
compiler, compiling source code writen in Verilog (IEEE-1364) into some target
format. For batch simulation, the compiler can generate C++ code that is
compiled and linked with a run time library (called "vvm") then executed as
a command to run the simulation. For synthesis, the compiler generates
netlists in the desired format.

The compiler proper is intended to parse and elaborate design descriptions
written to the IEEE standard IEEE Std 1364-1995. This is a fairly large and
complex standard, so it will take some time for it to get there, but that's
the goal. I'll be tracking the upcoming IEEE Std 1364-1999 revision as well,
and some -1999 features will creep in.
2000-01-26 15:28:40 +00:00
dmcmahill
d142cd570c enable acs 2000-01-24 22:14:13 +00:00
dmcmahill
1147c1c9c0 Initial import of acs-0.25
ACS is a general purpose circuit simulator.  It performs nonlinear
dc and transient analyses, fourier analysis, and ac analysis
linearized at an operating point.  It is fully interactive and
command driven.  It can also be run in batch mode or as a server.
The output is produced as it simulates.  Spice compatible models
for the MOSFET (level 1,2,3,6) and diode are included in this
release.

Since it is fully interactive, it is possible to make changes and
re-simulate quickly.  The interactive design makes it well suited
to the typical iterative design process used it optimizing a circuit
design.  It is also well suited to undergraduate teaching where
Spice in batch mode can be quite intimidating.  This version, while
still officially in beta test, should be stable enough for basic
undergraduate teaching and courses in MOS design, but not for
bipolar design.

In batch mode it is mostly Spice compatible, so it is often possible
to use the same file for both ACS and Spice.
2000-01-24 22:13:22 +00:00
abs
df05aef71f Strip trailing '.', and/or leading '(a|an) ' 2000-01-05 15:37:50 +00:00
rh
6f76911baf Add conflict with gEDA versions < 19991011. 2000-01-02 23:28:33 +00:00
rh
05ba44a75e Update geda to 19991011. Changes are tons of bugfixes and feature
enhancements.  Most notably, gEDA was split into several independent
modules, using a common library 'libgeda'.  These modules are now separate
packages with geda now becoming a meta package.
2000-01-02 23:09:49 +00:00
rh
a9040c1529 Add and enable geda-docs. 2000-01-02 23:01:27 +00:00
rh
45a75d58c2 Initial import of geda-docs-19991011, containing HTML documentation for
gEDA.
2000-01-02 23:00:00 +00:00
rh
4199326e08 Add and enable gsymcheck. 2000-01-02 22:54:12 +00:00
rh
df66909af0 Initial import of gsymcheck, a gEDA symbol checker. 2000-01-02 22:53:25 +00:00
rh
5340075b7d Add and enable gnetlist. 2000-01-02 22:51:19 +00:00
rh
69117eb312 Initial import of gnetlist, the gEDA netlist utility. 2000-01-02 22:41:23 +00:00
rh
8fd8de009c Add and enable gschem. 2000-01-02 22:33:17 +00:00
rh
beac8447ca Initial import of gschem-19991011, a schematic capture program. 2000-01-02 22:30:25 +00:00
rh
529cae6807 Add and enable geda-utils. 2000-01-02 22:21:50 +00:00
rh
ed08efee0b Initial import of geda-utils-19991011, a set of utilities for gEDA. 2000-01-02 22:20:52 +00:00
rh
3d84a1675f Add and enable geda-symbols. 2000-01-02 22:07:01 +00:00
rh
f71b6a6a05 Initial import of geda-symbols-19991011, a library of schematic symbols for
gEDA.
2000-01-02 22:05:39 +00:00
rh
f299ab6f7f Add and enable libgeda. 2000-01-02 22:00:51 +00:00
rh
f08234c781 Initial import of libgeda-19991011, a library of shared modules for gEDA. 2000-01-02 21:59:48 +00:00
wiz
2a93525194 replaced some commands by their ${COMMAND} counterparts 1999-12-28 04:29:52 +00:00
dmcmahill
3afd4d8f15 add and enable xchiplogo 1999-12-23 03:58:47 +00:00
dmcmahill
6ee94135f2 initial import of xchiplogo-19991222
note that the version number is the date when I grabbed the
sources.  There is no "official" version included in the
sources.

Xchiplogo reads an ascii bitmap file, and converts it into a
magic  or cif file. It is a handy program for creating logos
of text or graphics for  putting  on  VLSI  chips.   At  the
moment  it  accepts  the  B&W dithered format of XV as the
input. It has got quite a few options for resizing and  get-
ting rid of many design rule errors that can be found in the
bitmap file. It has  a smoothing, before and after an  error
correction  step. The error correction step is pretty simple
,don't expect miracles, but it works quite  fine  and  spe-
cially for text gives a reasonable output.
1999-12-23 03:57:11 +00:00
rh
2fda894ed9 Fix patch to apply without fuzz. 1999-11-12 14:48:20 +00:00
tron
29630e4c9c Use wildcard dependence for "gtk+" package. 1999-10-24 16:42:30 +00:00
dmcmahill
0275e14af4 fix bad patch-sum 1999-10-01 17:11:54 +00:00
dmcmahill
fe4bb072fe - added missing -Wl,-Rpath for the X11 libraries
- fixed program version number reported when spice is run to make it consistent
  with the version of the program.
- several patches to fix compilation warnings due to missing header files and
  some inconsistent variable types.
- broke out previous patch-aa which patched several files into 1 patch per file.
- fixed some code which returned the address of a local char array variable.
- added GNU readline support (a huge improvement in the interface)
- changed USE_X11BASE to USE_X11.  No reason to install into X11BASE.
- removed 'x' target from package Makefile
1999-10-01 17:05:14 +00:00
dmcmahill
0bbf6ad243 add & enable spiceprm 1999-09-30 15:15:00 +00:00
dmcmahill
1baf4d2fec Import spiceprm-0.11 package.
A Spice preprocessor for parameterized subcircuits
1999-09-30 15:13:25 +00:00
jlam
bb07397ce7 Update dependency on guile to 1.3.2. 1999-08-29 21:50:20 +00:00
rh
6c7e0a91ff Update dependency on gtk+-1.2.4 1999-08-28 09:43:06 +00:00
agc
a910a6fd62 Add package patch-sum files 1999-07-09 13:50:05 +00:00
drochner
27f1a8cb27 change dependencies to updated qt-1.44 1999-06-04 15:39:08 +00:00
tron
9089a443f2 Add and enable "vipec". Fixes PR pkg/7535 by Dan McMahill. 1999-06-03 16:30:18 +00:00
tron
bba203e4b8 Import new "vipec" package version 1.07:
a network analyser for electrical networks.

This package is based on version 1.06 submitted by Dan McMahill in
PR pkg/7535.
1999-06-03 16:26:26 +00:00
rh
f9d1c98b8e Updata geda to 19990516
Important changes are:
                - Now uses gtk+-1.2.2
                - A bunch of bug fixes.
                - Added a coordinate window to gschem
                - Integration of contributed symbols.
                - Latest Jerry O'Keefe's gmk_sym integrated
                - Mike Jarabek's verilog gnetlist backend integrated
                - Jamil Khatib's latest gschcheck
1999-05-21 13:27:24 +00:00
tron
23e0063766 Completely replace "MASTER_SITE_SUBDIR" and "PATCH_SITE_SUBDIR" with
variable substituition of "MASTER_SITES" and "PATCH_SITES".
1999-04-15 20:39:38 +00:00
agc
e20be6c347 Remove NOPORTDOCS definition - it was useless anyway, as any attempt to
build a binary package with this definition would fail as the PLIST is
not correct.

If a package's documentation is overwhelming, it should arguably be handled
in a separate pre-requisite documentation package.
1999-04-13 15:31:04 +00:00
rh
18e4d0aa46 Update to gEDA-19990327 1999-03-28 21:22:23 +00:00
rh
459b075ce9 Update to gEDA-19990226
Change MAINTAINER to rh@netbsd.org
1999-03-01 13:16:24 +00:00
rh
0a0cd3f622 Adapt in order to work together with new gtk10 package
Remove deprecated CONFLICTS with prior versions
1999-02-19 14:26:57 +00:00
frueauf
e9be2ced12 Update gEDA to 19990124, provided in pr 6936 by Rene Hexel. 1999-02-04 21:21:23 +00:00
agc
f6473207ca Replace all occurrences of USE_X11 with USE_X11BASE. This means "install this
package into ${X11BASE}".
Replace all occurrences of BUILD_USES_X11 with USE_X11. This means "use X11
headers and libraries to build this package".
1999-01-30 23:18:44 +00:00
frueauf
50b486c2d7 Add and enable ntesla. 1999-01-17 09:34:13 +00:00
frueauf
4777a3c717 Initial import of ntesla-1.7, a tesla coil design program.
Provided in pr 6826 by Dan McMahill.
1999-01-17 09:31:28 +00:00
frueauf
2e448e8a86 Make it compile/work on NetBSD/pmax. 1999-01-15 20:13:24 +00:00
frueauf
1a407ca735 Update geda to 19981213, provided in pr 6693 by Rene Hexel. 1998-12-31 12:05:52 +00:00
garbled
b2d642a618 change BUILD_DEPENDS texindex -> tex. An older pkg's texindex was lying
around my /usr/pkg/bin, and thus tex never got installed, and the build
blew up.  I don't believe any older pkgs install "tex", so this should be
a safe bet.
1998-12-29 02:35:58 +00:00
tron
d9ca2f2a8d Add and enable "geda" package, fixes PR pkg/6477 by Rene Hexel. 1998-11-24 22:03:40 +00:00
tron
620c1b7d2c Add missing RCS Id. 1998-11-24 22:02:05 +00:00
tron
56c546916f New "gEDA-19981117" created by Rene Hexel:
a toolset for automating electronic design.
1998-11-24 21:11:58 +00:00
agc
2cd5eb4894 Remove RUN_DEPENDS from package Makefiles, and replace it with an ordinary
DEPENDS definition.
1998-11-19 15:40:45 +00:00
frueauf
fe8aa7d4d8 Enable pcb. 1998-11-07 14:33:39 +00:00
frueauf
2a161f5151 Initial import of pcb-1.6.3, an X11 interactive printed circuit board
layout system. Provided in pr 6404 by Rene Hexel.
1998-11-07 14:30:33 +00:00
agc
ed7ea9c154 Fix this package name. 1998-09-15 09:26:24 +00:00
agc
c9847543c3 Force uncompression of docs via uncompress -f.
Change the name of the package to be more consistent.
1998-09-14 17:15:05 +00:00
tsarna
5e9cc577b8 Automatically append HOMEPAge to DESCR files, and remove homepage URLs
from individual DESCR files that had them.
1998-08-26 16:50:48 +00:00
tsarna
b74d05b876 Better HOMEPAGE. Also remove homepage from DESCR 1998-08-26 14:17:15 +00:00
tsarna
6bd0d65c65 The Grand Homepagification:
- New, optional Makefile variable HOMEPAGE, specifies a URL for
	  the home page of the software if it has one.
	- The value of HOMEPAGE is used to add a link from the
	  README.html files.
	- pkglint updated to know about it.  The "correct" location for
	  HOMEPAGE in the Makefile is after MAINTAINER, in that same
	  section.
1998-08-20 15:16:34 +00:00
agc
05b88260d7 Add NetBSD RCS Ids. 1998-08-07 13:16:49 +00:00
agc
86db14e819 Add NetBSD RCS Ids. 1998-08-07 10:35:47 +00:00
frueauf
778f4d7bbe portlint: remove whitespace before end of line 36. 1998-06-26 09:10:23 +00:00
agc
8bd881a6e2 Update package Makefile for automatic man page handling. 1998-06-20 09:22:43 +00:00
frueauf
e41a6d2142 Add USE_X11=yes, fixes pr 5606 by Tim Rightnour. 1998-06-18 11:07:04 +00:00
hubertf
bacc50e87e spice works 1998-06-11 14:55:15 +00:00
hubertf
a8f3e26823 Rework for NetBSD 1998-06-11 14:51:54 +00:00
hubertf
9bc476a12c spice: A general-purpose circuit simulation program 1998-06-11 14:39:01 +00:00
frueauf
277c413dc5 Add and enable fastcap and fasthenry. 1998-06-06 22:53:03 +00:00
frueauf
3b02b881fc Initial addition of a three-dimensional inductance extraction program.
Closes pr 5418 by Dan McMahill; heavy portlinting.
1998-06-06 22:50:07 +00:00
frueauf
87597fc92c Initial addition of a fast 3-D capacitance solver.
Closes pr 5418 by Dan McMahill; heavy portlinting.
1998-06-06 22:42:58 +00:00
agc
258b808c9f Use the bsd.pkg.mk and bsd.pkg.subdir.mk files in the pkgsrc tree.
Remove redundant (and sometimes erroneous) comments.
1998-04-15 10:38:15 +00:00
hubertf
4bcdf725ec Add from FreeBSD per request from Dan McMahill <mcmahill@mtl.mit.edu> 1998-04-09 13:02:43 +00:00