Commit graph

263 commits

Author SHA1 Message Date
jlam
a4bc16d30c I am a triple idiot. The only relevant variable that x11.buildlink.mk
redefines about which buildlink.mk files would care is BUILDLINK_X11_DIR,
which points to the location of the X11R6 hierarchy used during building.
If x11.buildlink.mk isn't included, then BUILDLINK_X11_DIR defaults to
${X11BASE} (set in bsd.pkg.mk), so its value is always safe to use.  Remove
the ifdefs surrounding the use of BUILDLINK_X11_DIR in tk/buildlink.mk and
revert changes to move x11.buildlink.mk before the other buildlink.mk files.
2001-10-24 22:10:43 +00:00
dmcmahill
3be1024b8f update to verilog-current-20011020.
changes since last snapshot include:

- addition of a fpga target for synthesis.  outputs edif, optimized for
  xilinx virtex parts.
- fixed bug with synthesis of !=
- fixed bug in hex constant parsing
- fixed vvp bug with subtracting very wide words
- much improved VCD output
- many other bug fixes and robustness improvements.
2001-10-24 12:27:11 +00:00
jlam
dff59f9ec3 x11.buildlink.mk needs to be included before any buildlink.mk files that
use X11_BUILDLINK_MK as a test value.  Generally just reordering the
inclusions so that x11.buildlink.mk comes before the other buildlink.mk
files will make everthing work.
2001-10-23 13:14:43 +00:00
dmcmahill
23cde2ddc8 update to gwave-20011020
New in 20011020:
- better measurement: value at both cursors or difference in values at cursors
- enhanced handling of log scales
- yet more file-reading improvements and general bug fixes
2001-10-23 01:39:16 +00:00
jlam
fe3b75ef44 Build uses perl to generate some important headers. 2001-10-17 02:23:19 +00:00
jlam
f79573370a Mechanical changes to 375 files to change dependency patterns of the form
foo-* to foo-[0-9]*.  This is to cause the dependencies to match only the
packages whose base package name is "foo", and not those named "foo-bar".
A concrete example is p5-Net-* matching p5-Net-DNS as well as p5-Net.  Also
change dependency examples in Packages.txt to reflect this.
2001-09-27 23:17:41 +00:00
jlam
59e85b2d0e Use x11.buildlink.mk instead of USE_X11. 2001-09-12 02:27:55 +00:00
agc
a16fc84f19 Deprecate NO_WRKSUBDIR, replacing it with an explicit assignment of:
WRKSRC= ${WRKDIR}

This is much cleaner, much more indicative of what happens, and removes
another of the negative definitions (NO_.* = value).
2001-09-09 20:36:07 +00:00
jlam
bee9f3eafb Use mk/motif.buildlink.mk instead of lesstif/buildlink.mk. 2001-09-08 19:55:39 +00:00
dmcmahill
106120df5c update to cascade-1.4
Changes include:

- add the ability to specify gain in terms of voltage gain _or_ power gain
- add input/output resistance keywords
- add defaults keyword to allow users to change program defaults on the fly
- the cascade-mode for emacs now works for fontlock
- add voltage output levels in addition to the power levels
- add a verbose style comment (ie, one which gets copied to the output file
  instead of being simply ignored).
- new homepage and master ftp site.

The previous version had no known bugs.  Hopefully this one won't either.
2001-09-06 22:58:37 +00:00
jlam
63fc151cb9 Use x11.buildlink.mk instead of USE_X11. Also convert hard-coded references
to ${X11BASE} in the header and library search paths into references to
${LOCALBASE}/share/x11-links.  These packages should now be strongly-
buildlinked regardless of whether xpkgwedge is installed.

Changes well-tested on NetBSD-1.5X/i386 with and without xpkgwedge and
lightly-tested on NetBSD-1.5.1/alpha without xpkgwedge.
2001-08-29 22:41:00 +00:00
jlam
a17239c066 Move per-package default XAW_TYPE setting above the inclusion of
bsd.prefs.mk so that it is actually used.  Where possible, include
xaw.buildlink.mk instead of setting USE_XAW, and use LIBXAW where needed.
2001-08-23 04:26:51 +00:00
jlam
f24e95b86e Add ${LIBGETOPT} to LIBS after change to libgetopt/buildlink.mk. 2001-08-22 02:16:31 +00:00
dmcmahill
0bdc96ae11 update to verilog-0.5
* The Big Change: VVP

Past versions of Icarus Verilog performed simulation by compiling the
Verilog design to intermediate C++ code, then in turn compiling that
C++ (usually with G++) to a binary executable. This program was then
executed to actually run the simulation.

The 0.5 compiler, however, uses a custom internal language called
"vvp." The vvp code generator writes a program in the vvp language
that the vvp interpreter executes. This gets runtime performance
similar to the older vvm method, but compile times are much faster.

The result of this change is that there is a new program, ``vvp'',
that is installed with the existing ``iverilog'' compiler. This
program actually executes the simulation generated by the vvp code
generator.

There are manual pages for the iverilog command and the new vvp
command, as well as a QUICK_START document to help you run your first
simulation.

* What Else Is New

The compiler itself is now a lot more robust. While it still does not
compile and understand the entire IEEE1364 standard, the compiler is
less likely to crash on bad input, gives better error messages, and
has generally been cleaned up.
2001-08-04 01:20:43 +00:00
dmcmahill
b7cd07e7d7 update to libgeda-20010708
this represents nearly a year and a half of bug fixes and enhancements to
numerous to list here.
2001-07-17 03:06:27 +00:00
dmcmahill
612827cba6 update to geda-symbols-20010708
adds many many more parts and fixes some bugs.
2001-07-17 03:05:01 +00:00
dmcmahill
6b4d04d5b2 update to geda-utils-20010708
this represents nearly a year of bugfixes.
2001-07-17 03:03:57 +00:00
dmcmahill
b5224ccd82 update to gnetlist-20010708
this represents nearly a year and a half of bug fixes and enhancements
including some additional netlist types.
2001-07-17 03:02:51 +00:00
dmcmahill
10de104e58 update to gschem-20010708
this represents nearly a year and a half of bug fixes and enhancements to
numerous to list.
2001-07-17 03:01:09 +00:00
dmcmahill
39fffd0288 update to gsymcheck-20010708
mostly bugfixes to address compiler warnings.
2001-07-17 02:59:06 +00:00
dmcmahill
c0d976619a update to the 20010304 snapshot.
brings the documentation more in line with the programs.
2001-07-17 02:56:44 +00:00
dmcmahill
ce193d87f5 update this metapkg to the 20010708 snapshot.
This represents nearly a year and a half of bugfixes and enhancements too
numerous to list.
2001-07-17 02:55:03 +00:00
dmcmahill
4b03a419f7 update to acs-0.29
------------------

ACS 0.29 release notes  (06/30/2001)

The primary effort has been to implement IBIS, which is still not
done.  The changes here are mostly infrastructure changes needed to
support IBIS.


New features:

1. "Fit" function has choice of fit order and extrapolation.  You can
have order 0, 1, 2, or 3.

2. "Posy" has even and odd options, to determine what happens in the
negative region.

3. Modelgen improvements.  It now is useful for the whole device,
sometimes.  It now handles probes and the device side of the model.
The diode uses it completely.  There are still a few missing features
needed for the MOSFET and BJT.

4. Spice-3 compatible semiconductor resistor and capacitor.

5. "Table" model statement.


Improvements, bug fixes, etc.

1. Option "numdgt" really works.

2. Better error messages from modelgen.

3. Code changes for optimization of commons.  This should reduce
memory use, sometimes, by sharing commons.  Common sharing is still
not fully implemented.

4. Fix two bugs that sometimes caused problems after a "modify" or on
a "fault".

5. Better handling of "vmin" and "vmax".  It should be much less
likely that limiting causes convergence to a nonsense result.


Some things that are still partially implemented:

1. Internal element: non-quasi-static poly-capacitor.

2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
2001-07-05 12:01:32 +00:00
jlam
6c57490e71 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-07-03 20:54:15 +00:00
dmcmahill
1cf7435286 update to 20010630 snapshot.
changes are:
-----------
RELEASE NOTE FOR ICARUS VERILOG 20010630

I've done some cleanup of the mingw port of Icarus Verilog. I've also
added instructions for how to build Icarus Verilog under mingw. I'm
working on making that the preferred way to support Windows, and when
I make the 0.5 release I will make Windows binaries this way. Anyhow,
feedback on the build instructions and the build results using the
instructions in mingw.txt are welcome.

I've make "vvp" the default target type. The older vvm behavior is
available with the "-tvvm" flag to iverilog, but I would rather be
told about (and fix) bugs in the vvp code generator and run time.

I've added support for the (unsigned) right shift operator. The left
shift has been working for a while now, but right shift somehow
slipped through the cracks. The shift operators still don't quite work
in structural contexts, but they should show up sometime next week.

I've finally got VCD output working properly with vvp. It may even be
better then with vvm, although some internal symbols are still generated.

A few odd bugs have been fixed, including a code generation error for
xnf, and error checking of user defined function parameters.
2001-07-03 18:23:46 +00:00
dmcmahill
ad9c62d49f add a "quit" button.
bump to nb1.
2001-07-01 18:36:03 +00:00
zuntum
cde4b723b3 o use REPLACE_PERL instead of sed 2001-06-27 11:41:51 +00:00
jlam
5df6c35daf Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-06-26 19:54:48 +00:00
jlam
5c90289186 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-06-20 23:37:01 +00:00
jlam
89205d5689 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. Set
USE_X11 instead of explicitly adding ${X11BASE}/lib to the LDFLAGS.
2001-06-20 01:49:10 +00:00
jlam
c4e71c5e7a CPPFLAGS is now passed to MAKE_ENV and CONFIGURE_ENV by bsd.pkg.mk, so
adapt by moving CPPFLAGS settings to top-level, and removing explicit
inclusion of CPPFLAGS into MAKE_ENV and CONFIGURE_ENV.
2001-06-11 06:34:17 +00:00
jlam
dbfde59b14 The buildlink include and lib directories are added to CFLAGS, CPPFLAGS,
CXXFLAGS, and LDFLAGS by the buildlink.mk files so remove the extra
definitions to add them from the package Makefiles.  As advised by the
bsd.buildlink.mk file, also ensure that the buildlink.mk files are
included prior to defining any package-specific CFLAGS/LDFLAGS to ensure
that the buildlink directories are at the head of the compiler search
paths.
2001-06-11 02:05:07 +00:00
jlam
3bc5e40254 Remove dependency on ${BUILDLINK_TARGETS} in pre-configure and pre-build
targets as the buildlink.mk files now add the dependency automatically.
Remove any NO_CONFIGURE definitions as they seem to be useless.
2001-06-10 00:08:41 +00:00
dillo
bf6758b9a0 updated to version 4.03e, old version no longer on server. 2001-06-05 16:49:09 +00:00
dmcmahill
5609f66ecc Update to dinotrace-9.1d
Changes are:

* Changes in Dinotrace 9.1d  5/24/2001
***     Fixed missing 0's in display of >64 bit numbers. [Amitvikram Rajkhowa]
***     Fixed stripping of characters after bus prefix.  [Steve Hoover]

* Changes in Dinotrace 9.1c  2/13/2001
***     Fixed Verilog reading ignoring the hiearchy separator. [Dominik Strasser]
2001-05-24 20:17:13 +00:00
jlam
54718a4db7 Standardize name of file to include for build-links to be "buildlink.mk".
Use BUILDLINK_INCDIR, BUILDLINK_LIBDIR for locations of linked headers
and libraries.  Create a variable BUILDLINK_TARGETS whose value is the
list of build-link targets to execute.
2001-05-24 08:53:54 +00:00
wiz
52e0b4900a Don't ignore checksums for three ps files, and add checksums and sizes
to distinfo.
2001-05-22 23:24:51 +00:00
jlam
e9c783b653 (1) Honor CFLAGS passed in from environment during build.
(2) Use devel/readline/Makefile.readline to get readline support, and note
    why GNU readline is required.
(3) Make this package work with xpkgwedge...the app-defaults file was
    always being installed under ${X11BASE}.
2001-05-22 16:48:59 +00:00
dmcmahill
e734091698 update to verilog-current-20010520.
many changes since the last snapshot.  Mostly they involve expanded
VVP support.  The VVP target now passes >200 of the tests from the
test suite.  While not as complete as the VVM target, VVP is getting
closer and its _much_ _much_ faster.
2001-05-21 22:25:19 +00:00
jtb
498e03b655 Don't override XAW_TYPE (use =? instead of =). 2001-05-13 14:34:08 +00:00
jtb
5614b8f2ff Add some defaults for EVAL_PREFIX. 2001-05-03 22:02:59 +00:00
jtb
bb88f133a5 USE_X11BASE since felt installs an app-defaults file. 2001-04-30 20:56:51 +00:00
jtb
3661630847 Don't add app-defaults file to PLIST, these pkgs don't install in X11BASE
yet install their defaults files there.
2001-04-30 14:48:45 +00:00
jtb
7185f416ea Change to SHA1 checksum. The distfile contains a fix without a corresponding
increase in version number.  From the XCircuit homepage:

	Note that the March 28, 2001 version corrects a bug due to
	dubious C syntax causing segmentation violations when xcircuit
	was compiled without the debug option.

	C Trivia question:
	What does  "x[a] = x[--a]" do?
	Answer A: "x[a] = x[a - 1]; a--"
	Answer B: "a--; x[a] = x[a]"
	Answer C: either A or B, depending on your OS, compiler version,
		  and/or debug or optimizer switch.
2001-04-29 15:15:16 +00:00
dmcmahill
6fb90697cd update missing distinfo file from update. Thanks to Thomas Klausner
for catching this one.
2001-04-28 03:45:05 +00:00
dmcmahill
b8e41cce2a update to verilog-current-20010422
Changes since the last packaged snapshot from the authors announcements:

Icarus Verilog snapshot 20010422
--------------------------------
I've integrated a bunch of UDP patches from Stephan Boettcher. These
go to the core of ivl, so if you use Icarus Verilog with UDPs, you
might want to give this a test for us.

Stephan has also added some ivl_target support for UDP devices. This is a
prerequisite to vvp support for UDP devices.

Some of you have been beating me over the head about disable, so the
vvp target now supports disable. It only works in certain very constrained
situations, but the idea is there and the more common cases are simply a
matter of getting around to them. I actually could use more examples of
the use of disable for the test suite.

In the process, I have settled on the interaction of threads and scopes,
and changed the %fork syntax to match. See the README.txt and opcodes.txt
file for details. The implementation of %end and %join simplified in
the process.

The vvp-tgt code generator supports a few more gate types. New gate
types are pretty easy to add, it's just boring grunt work. That's why
they've been popping up slowly.

I've also got certain behavioral shifts working. Only constant shifts,
so far, but this covers a pretty large percentage of the real world
uses of shift, I think.

I fixed a few specify block parse problems, so it should ignore
even more complex specify blocks now:-) One of these days I really will
properly support specify blocks.

PROGRESS

I was hoping to get vvp up to a similar level as vvm by the end of
April, but that doesn't look like it's going to happen. I'm up to 182
tests passed, compared to 318 of Icarus Verilog/vvm, so I have a ways
to go yet. I see no real point to making a release until I get up to
300 or so tests passed. That is the goal for 0.5 release.

But of course if vvp is enough for you, then it is soooo much faster
then vvm.

Icarus Verilog 20010415 Snapshot
--------------------------------
As with all the most recent snapshots, this is almost entirely progress
with the vvp code generator and simulation engine. I'm up to 159 tests
passed in the test suite, so I'm getting there. But there's still plenty
to go.

I also fixed what appeared to be a minor problem with elaboration of ?:
expressions in continuous assignments. The code was actually fine, it
was a spurious assert. This fix affects vvm as well.

Icarus Verilog/vvp now support <= statemements with internal delays.
That is, "foo <= #10 bar;" should work properly, and there are tests
in the suite that prove it. This is a pretty common syntax, so this
should help a lot of folks.

I also fixed a bug in the code generator that would cause it to put a
constant bit as a destination for the bitwise boolean operators. This
caused run-time asserts.

The event or support in vvp has been extended to now support arbitrary
width, so now you can for example wit for any changes in a 32bit reg.
This handles most of the likely cases, so @ statements should now be
pretty generally functional.

The handling of run-time threads has been revamped in preparation for
support of the disable statement. It also plugs a memory leak where
fork/join and task/function calls are invoked. And this version should
also clean up all those tiny initial foo=bar threads that all programs
seem to have. Threads that are done are now freed, along with their
memory, hopefully reducing the runtime memory footprint.

That's pretty much it this time 'round. Working with threads took some
time, so the progress isn't as flashy as it sometimes is.

There is still lots to do with vvp before 0.5, but I would appreciate
any feedback you can offer. It's complete enough already that I'm able
to accept bug reports on it, even if it turns out to be a "not supported
yet" type of thing. At this point, I'd be curious to know what hangups
are preventing its regular use.
2001-04-28 03:15:26 +00:00
dmcmahill
ec0a0d19f8 add and enable simian and simian-docs 2001-04-28 02:37:59 +00:00
dmcmahill
1b7477b2fd Initial import of simian-docs-2.1
User's guide for SIMIAN (Surface Impedance Method for Interconnect
Analysis).  The guide is in PDF format.
2001-04-28 02:37:22 +00:00
dmcmahill
0ccb07d3db initial import of simian-2.1
Surface Impedance Method for Interconnect Analysis.

SIMIAN is a two dimensional frequency dependent series
impedance extraction tool for inerconnects and transmission
lines using conductors of rectangular or circular cross section.

The use of the surface ribbon method (SRM) greatly enhances
the speed of computation relative to the volume filament
method (VFM).
2001-04-28 02:36:35 +00:00
jtb
10c92aa4ac Add missing "Velvet.ad" to PLIST. 2001-04-28 01:02:49 +00:00