Commit graph

1123 commits

Author SHA1 Message Date
zafer
20df506a31 update master site 2009-05-28 20:53:12 +00:00
wiz
272d51cc21 Recursive ABI depends update and PKGREVISION bump for readline-6.0 shlib
major change.

Reported by Robert Elz in PR 41345.
2009-05-20 00:58:05 +00:00
wiz
60f460ab01 Use standard location for LICENSE line (in MAINTAINER/HOMEPAGE/COMMENT
block). Uncomment some commented out LICENSE lines while here.
2009-05-19 08:59:00 +00:00
zafer
f5975bd1e5 update master site. 2009-05-17 00:03:13 +00:00
hasso
39fe0cabab Fix install on platforms not using pax tar. Bump PKGREVISION. 2009-05-12 08:39:23 +00:00
joerg
6f4f846563 Use META_PACKAGE 2009-04-09 00:41:53 +00:00
drochner
3bec4680e6 this needs verilog-current to build 2009-04-01 17:26:06 +00:00
joerg
2d1ba244e9 Simply and speed up buildlink3.mk files and processing.
This changes the buildlink3.mk files to use an include guard for the
recursive include. The use of BUILDLINK_DEPTH, BUILDLINK_DEPENDS,
BUILDLINK_PACKAGES and BUILDLINK_ORDER is handled by a single new
variable BUILDLINK_TREE. Each buildlink3.mk file adds a pair of
enter/exit marker, which can be used to reconstruct the tree and
to determine first level includes. Avoiding := for large variables
(BUILDLINK_ORDER) speeds up parse time as += has linear complexity.
The include guard reduces system time by avoiding reading files over and
over again. For complex packages this reduces both %user and %sys time to
half of the former time.
2009-03-20 19:23:50 +00:00
dmcmahill
5c4c910aca update to verilog-0.8.7, the latest in the stable 0.8 series.
Release Notes for Icarus Verilog 0.8.7

none (but see below for other releases since the last version in pkgsrc)

Release Notes for Icarus Verilog 0.8.6

This is a bug fix update of the 0.8 stable version of Icarus
Verilog. The v0.8 series tries to remain as stable as possible while
still fixing bugs that are safe to fix.

Preprocessor:

* Fix parse/preprocess of C-style comments in surpressed ifdef
  blocks.

* Support leading underscore in preprocessor names.

Compilation/elaboration issues:

* Support min:typ:max expressions in more places.

* Fix handling of @* non-input nets.

* Do not support system functions in continuous assignments.
* Do not support converting vectors to real.
* Do not support constant real valued expressions.

Run-time ussues:

* Fix comparison of negative numbers that happen to be equal.

* Fix bad execution of certain expressions caused by code generator
  bad lookaside handling.

* Proper error message for invalid bit selects.

* Implement $printtimescale system task.

Compiler build issues:

* Compile OK evel if libbzip2 is not installed, but do not support
  LXT2 in that case.

Release Notes for Icarus Verilog 0.8.5

This is mostly a bug-fix release for the 0.8 stable branch.

* Fix assertions from unary operators with certain operand widths.

* Fix incorrect comparison results when in certain cases comparing two
signed negative integers.

* Latch synthesis has been added to the core synthesizer

* Add nand gate support to the edif code generator

* Minor compile time errors/warnings
* Improved messages from the configure script

Release Notes for Icarus Verilog 0.8.4

This is a bug-fix release for the 0.8 stable branch. The 0.8 stable
branch updates do not include significant new features (they go into
the devel branch instead) nor fixes that are deemed to drastic to
include in a stable tool.


- Various source code portability problems have been fixed. The 0.8 no
  longer compiles on many modern systems.

- Various bug reports have been put to rest with this release. Some
  parser errors have been fixed (including a few regressions from
  0.8.3) and a few new syntaxes added.

- A variety of systhesis bug fixes and enhancements are included in
  0.8.4. Currently, synthesis is only actively supported in the 0.8
  branch, and the 0.8.4 is the most complete.
2009-03-11 02:08:08 +00:00
snj
a0cbc31b38 Remove ipal-current, which has been dead upstream for years. The package's
author and maintainer both agree that this ought to be removed from pkgsrc.
2009-03-07 19:45:56 +00:00
minskim
3b604f0980 Let fastcap depend on dvipsk because teTeX-bin no longer provides dvips. 2009-02-25 17:03:03 +00:00
joerg
3c645bb7fc Switch to Python 2.5 as default. Bump revision of all packages that have
changed runtime dependencies now.
2009-02-09 22:56:21 +00:00
jnemeth
4baec0b8e3 correctly mark this package as a meta-pkg 2009-02-05 13:40:21 +00:00
dmcmahill
99248208e1 Update the geda suite to 1.4.3. Changes since the last packaged
version (1.4.0) are listed below.

-------------------------
  Changes in this release only (since v1.4.0):
       Carlos Nieves Onega (1):

     * Fix missing %s in two strings.

   Patrick Bernaud (1):
     * Fix memory leak in gschem.

   Peter Clifton (2):
     * Fix verilog and VHDL netlist backends
     * Add libgeda/po/POTFILES.skip for intl/plural.c

   Peter TB Brett (1):
     * Don't crash on zero snap grid spacing [2026598]

   Werner Hoch (4):
     * Fix drawing artefact in rubbernet drawing code
     * update the pinnumbers if a slot attribute is deleted
     * o_delete_text(): only call o_attrib_slot_update() for COMPLEX
       objects.
     * libgeda: fixed EOL handling for mixed windows/linux usage

-------------------------
   Changes in this release only (since v1.4.1):
       Ales Hvezda (2):

     * Fixed up all icon-theme-installer files to work with non-bash
     * Bumped the revision on libgeda's shared library and updated
       versions.

   Carlos Nieves Onega (1):
     * Changed shell from bash to sh in icon-theme-installer

   Dan McMahill (1):
     * Avoid insecure temp file usage.

   Peter Clifton (3):
     * gattrib: Don't special-case ignore components with "graphical"
       attribute.
     * gattrib: Don't crash if we can't find data in the sheet. Bug
       #2214739
     * Calculate bounds of new object when copying an embedded complex.

   Werner Hoch (1):
     * libgeda: changed object adding flag for embeded symbols [#1692626]

-------------------------
   Changes in this release only (since v1.4.2):
       Patrick Bernaud (1):

     * Enable promotion of attributes when adding a component from guile.

   Peter Clifton (7):
     * gschem: Ensure we invalidate the region of text's origin marker
     * gnetlist: Fixup systemc backend
     * gschem: Don't add invalid attributes to the multiattrib dialog list
     * gschem: Check for self-connecting COMPLEX before deleting. Fix
       #1912859
     * gschem: Remove stretched object from stretch list if we delete it
     * o_move_end_rubberband(): Rework creating connectivity lists. Fix
       #2017356
     * gschlas: Fix segfault opening file

   Peter TB Brett (1):
     * Validate calls to scm_c_eval_string(). [2105219]

   Werner Hoch (1):
     * gschem: redraw empty preview on configure events [#2159047]
2009-02-01 05:20:00 +00:00
dmcmahill
a8dd50ee57 Update to gerbv-2.2.0.
========================================================================
Release Notes for gerbv-2.2.0
========================================================================
The following is a summary off the changes which went into gerbv-2.2.0.
For a complete list of changes, refer to ChangeLog.

- libgerbv:  fixed a bug in the min/max calculation.
- libgerbv:  improved the ability to parse drill files with
             user specified formats.
- libgerbv:  Compile with -no-undefined to make it easier to build
             windows DLL's.
- configure: Improve detection of tools when cross-compiling.
- libgerbv:  Improved example programs.
- gerbv:     Changed desktop categories to be more consistent with
             the rest of gEDA.
- common:    Fixed compilation with SunPRO compilers
- libgerbv:  Fixed calculation of pick and place bounding box
- gerbv:     Added a rendering benchmark
- gerbv:     Various rendering speed improvements
- common:    Made an install be relocatable.  This is always needed
             for proper win32 operation and helps in some cases
             on other operating systems.  As part of this, improve
             how init.scm is located at startup.
- gerbv:     Improved the project file load/save dialog.
2009-01-23 12:00:43 +00:00
dmcmahill
091dfa366d home page has moved, update HOMEPAGE accordingly 2008-12-27 01:16:54 +00:00
dmcmahill
c4a0954054 Update to pcb-20081128
========================================================================
Release Notes for PCB snapshot 20081128
========================================================================
This release represents over 70 commits and as such this summary
clearly is not complete.  See the ChangeLog file for the complete list
of changes.

- Minor bug fixes in RS274-X output.
- Bug fixes in postscript output
- DRC checks for annular ring on pads only uses the mininum annular ring
  drc setting instead of also checking minimum copper width.
- Fix postscript output for zero length lines.
- Improve the 'save before closing' dialogs.
- Fixed bugs where objects attached to the cursor vanish sometimes.
- Various minor code portability improvements.
- Enabled additional gcc warnings (when using gcc)
- Allow outline layers to have only arcs.
- Added "Ben Mode" png output which provides a simulated "photograph"
  of the board.  Very nice for publications.
- Fix poly clearance around rotated pads.
- Fix the batch HID which had bit rotted a little.
- Display net names hierarchically.
- Various speed improvements in the GTK HID.
- Various speed improvements in the autorouter.
- Minor improvements to the windows port.
- Other various bug fixes.
2008-12-03 00:28:39 +00:00
dmcmahill
dc5d4e79d4 Address privilege-escalation vulnerability (http://secunia.com/advisories/32806/)
due to insecure temp file usage.

Bump pkgrev.
2008-11-30 23:48:00 +00:00
wiz
285b7dd776 Bump PKGREVISION for libXaw API depends bump due to libXaw8 removal. 2008-11-10 17:21:33 +00:00
uebayasi
34004f51e0 Move mk/emacs.mk to editors/emacs/modules.mk.
Don't call pkg_info to get the installed Emacs version; always use the
version matching EMACS_TYPE set by users.  Be DEPENDS to it.  This should
address pkg/37146 by Aleksey Cheusov.

While here convert some emacs lisp packages to user-destdir.
2008-10-11 09:31:54 +00:00
joerg
0665aa2efa Switch to x11/wxGTK26{,-contrib}. 2008-09-22 20:21:03 +00:00
dmcmahill
ff29c9011f Update to gerbv-2.1.0. Changes from 2.0.1 include:
*  Added ability to select objects, with the option of deleting or viewing
basic properties of the objects

*  Split off the core functionality of gerbv into a library (libgerbv) to
allow developers to quickly write software using Gerber
parsing/editing/exporting/rendering functionality.  Full DOxygen
documentation has also been created to facilitate developers wishing to use
libgerbv.

*  Added export to RS274X and Excellon functionality, allowing gerbv to
translate files between formats.  The RS274X export function can also be
used to "clean" troublesome files to a more compatible format.

*  Added the ability to override the Excellon format "guessing", allowing
non-standard drill files to be correctly rendered

*  Greatly expanded the command line functionality, including the ability to
panelize boards into a single one through the command line

*  Added "Aperture usage" tab to Gerber reports.  This allows the user to
see how often each aperture is used in all visible layers of his project.


Several notable bugs were squished in release 2.1.0:

*  Fixed bug when exporting several layers to SVG/PDF/PS, which caused
corrupted output and/or crashes

*  Fixed several Windows-only problems related to parsing and rendering

*  Fixed rendering bug when using polygon objects within step and repeat
blocks

*  Improved RS-274X export output format to work with certain Gerber viewing
software
2008-09-15 02:25:54 +00:00
dholland
641f5369c6 Fix broken amd64 build. 2008-08-02 19:59:21 +00:00
joerg
f605fec2db Mark as destdir ready. 2008-07-14 12:55:56 +00:00
drochner
0663f75bbc update to 1.9.1
changes:
-speed improvement
-documentation update
-bugfixes
2008-06-23 16:49:10 +00:00
joerg
b9618cf2db Don't leak WRKDIR in rpath. Bump revision. 2008-06-21 18:01:18 +00:00
joerg
3b0d97b0de Add DESTDIR support. 2008-06-20 01:09:05 +00:00
joerg
ba171a91fa Add DESTDIR support. 2008-06-12 02:14:13 +00:00
joerg
3d8ef5a52d Second round of explicit pax dependencies. As reminded by tnn@,
many packages used to use ${PAX}. Use the common way of directly calling
pax, it is created as tool after all.
2008-05-26 02:13:14 +00:00
joerg
a8a3c01339 Explicitly add pax dependency in those Makefiles that use it (or have
patches to add it). Drop pax from the default USE_TOOLS list.
Make bsdtar the default for those places that wanted gtar to extract
long links etc, as bsdtar can be built of the tree.
2008-05-25 21:42:20 +00:00
dmcmahill
f92d054b95 remove a syntax error from the top level configure script. 2008-04-30 21:35:59 +00:00
joerg
a77e7015fe Update PYTHON_VERSIONS_COMPATIBLE
- assume that Python 2.4 and 2.5 are compatible and allow checking for
fallout.
- remove PYTHON_VERSIONS_COMPATIBLE that are obsoleted by the 2.3+
default. Modify the others to deal with the removals.
2008-04-25 20:39:06 +00:00
jlam
841dfa0e7a Convert to use PLIST_VARS instead of manually passing "@comment "
through PLIST_SUBST to the plist module.
2008-04-12 22:42:57 +00:00
joerg
597c8d129d Dramatically increase likelihood that this actually works. 2008-04-03 13:22:06 +00:00
joerg
31da70e891 Fix DESTDIR build. Fix build on 64bit platforms. 2008-04-03 10:53:46 +00:00
dmcmahill
76a8ef8077 Repair the build when using f2c-f77 as the fortran compiler.
Also, do not install calcCAP or calcRL.  These two tools seem to give
results of questionable accuracy (see the sourceforge bug tracker
for the upstream sources) and also don't build with gcc-4 anyway.

Bump pkgrevision.
2008-03-18 11:48:25 +00:00
joerg
2035e7ba1e Fix DESTDIR installation. 2008-03-15 19:29:55 +00:00
wiz
eb257384eb Update HOMEPAGE and MASTER_SITES. 2008-03-12 09:50:28 +00:00
wiz
a8686fc0e5 Add CONFLICTS line for previous PKGNAME versions.
Suggested by Alan Barrett.
2008-03-10 10:33:38 +00:00
wiz
7e5ec4260e Fix version number by adding a PKGNAME. 2008-03-04 12:17:47 +00:00
jlam
2e614fcea0 Mechanical changes to add full DESTDIR support to packages that install
their files via a custom do-install target.
2008-03-03 01:50:26 +00:00
jlam
f61cf6906a Add basic destdir support. 2008-03-03 01:40:21 +00:00
dmcmahill
1b97ddd7f4 Update to gerbv-2.0.1.
Many updates including

- 100% of RS274-X is now supported
- cairo rendering which among other things gives printing to a printer, pdf,
  png, ps, or svg.
- gnome/kde desktop integration
- added a regression test suite
- lots of rework of the gui.

All in all this is a major overhaul.
2008-02-18 22:40:36 +00:00
dmcmahill
8fbc583348 update to pcb-20080202
========================================================================
Release Notes for PCB snapshot 20080202
========================================================================
This release represents over 180 commits and as such this summary
clearly is not complete.  See the ChangeLog file for the complete list
of changes.

- Added a footprin preview in the library window
- Added gnome/kde desktop integration files (app and mime icons, and
  .desktop file)
- Added i18n support
- Updated dbus support
- Fix a number of GCC 4 compiler warnings
- Make the gtk gui more robust against malformed menu resource files
- Added checking for duplicate hot key definitions in the menu resource
  file in the gtk gui.
- Improved support for building on win32.
- Removed automake/autoconf generated files from cvs
- Fixed some parallel make bugs
- Fixed some scrolling/panning bugs in the gtk gui.
- Added the ability to load edif netlists
- Closed a number of bugs in the sourceforge tracker
- Fix a bg in the x-y output files where the y values were mirrored and offset
- Add XRENDER support to the lesstif HID to support layer transparency
- Fix some polygon dicer bugs
- Fix a handful of bugs in the png export HID
- Avoid vias snapping to pins and moving elements snapping to their own
  pins/pads
- Fix a number of undo bugs
- Fix printer calibration
- Add global puller
- Various improvements to the postscript export HID
- Improve all the GetXY prompts in the menu resource files
- Improved the pin/via report
- Improved pin/pad vs grid snapping
- Various footprint improvements
  o fixed some drill sizes
  o fixed some silk sizes
  o Added a handful of QFP family footprints
  o Converted the QFP family to the hi-res format
- Several other bug fixes
2008-02-02 04:50:20 +00:00
dmcmahill
0b85313486 update the gEDA suite to version 1.4.0
Release notes for the gEDA/gaf 1.4.0.20080127 stable snapshot

   Summary
     __________________________________________________________________

   This is the third major stable release of gEDA/gaf. It rolls up the
       various feature additions, code cleanup, and bug fixes that have
       occurred over the past five months. Major highlights include:

     * Many usability improvements to the various programs
     * Optional support for desktop integration (GNOME and KDE)
     * Many improvements to component library handling (internals and
       component selection dialog)
     * libgeda is now internationalized
     * Many language translation updates to gschem and gattrib
     * Improved error handling throughout all the programs
     * Fixes to the spice-sdb backend to support slotting
     * Rendering improvements and speedups in gschem
     * A bunch of new tests in gsymcheck to catch a few common errors
     * Improved support for running under MinGW
     * Lots and lots of code cleanup and improvements throughout all the
       programs
     * The usual slew of bugs and quirks fixed

   NOTE: This is a stable snapshot and should be packaged up by
   distribution package maintainers.

   Changes
     __________________________________________________________________

   Changes in this release only (since v1.3.1):
       Peter Clifton

     * Fix typo in Dutch translation
     * Fix use after free corruption when consolidating nets
     * Invalidate component cache when updating symbols. [#1880211]
     * Only call gdk_window_invalidate_rect() when drawing to a GdkWindow.

   Werner Hoch
     * gsymcheck: improved the pintype check, added test case
     * Added new pintype test symbol and output to EXTRA_DIST
     * gsymcheck: test for misplaced attributes
     * gsymcheck: moved obsolete and forbidden attribute checks
     * fixed error handling bug in run_source_command() [#1869941]
     * forward status messages from the library command to the log
     * fixed two symbols with missplaced pin attribute errors
     * detach missplaced symbol attributes
     * fixed symbol with invalid pintype attribute
     * Update the pinnumbers when updating a symbol with slots
     * Updated German translation of gschem

   Ales Hvezda
     * Updated documentation from the official wiki website
     * Changed Edit/Undo and Add/Bus hotkeys
     * Fixed reversed VDD/VSS nets in this hex schmitt trigger
     * Fix a crash when running a script that calls (gschem-image ...)

   Bernd Jendrissek
     * Update Afrikaans translation to fix spelling error.

   Bert Timmerman
     * libgeda Dutch translation updates

   For all the other changes from last development series (1.3.x):
     * [1]gaf-1.3.0 Release Notes
     * [2]gaf-1.3.1 Release Notes

   Notes/Bugs/Errata/Known Issues/Last Minute Issues
     __________________________________________________________________

     * Many thanks to everybody who contributed to this snapshot and
       previous development snapshots.
     * Updates to this stable snapshot (1.4.x series) will occur if people
       find issues.
     * The next major stable snapshot (1.6.x) will probably happen in the
       middle of this year.
     * Please submit all bugs to the [3]bug tracker.
2008-02-01 02:34:20 +00:00
rillig
0aa7247949 ERROR: patches/patch-ab:71: This code must not be included in patches. 2008-01-05 20:59:00 +00:00
markd
dc69843481 Use USE_CMAKE rather that doing same explicitly.
Package supports DESTDIR.
2008-01-04 20:34:13 +00:00
joerg
d0041955cc Needs gettext-lib for build. 2007-12-29 19:57:43 +00:00
dmcmahill
b26f8fdba1 update to gerbv-1.03
This release represents a point release incorporating a few patches made
against the 1.0.X source over the last 1 1/2 years.  Specific updates
include:

* Incorporate changes from Joost Witteveen to support extended
  %SR% commands.
* Fix endless loop bug when gerbv encountered an unknown
  % code.  Patch from Joost Witteveen.
* Fixed initial scale setting for %MOMM% Gerber files.
  Patch from Joost Witteveen.
* Fixed format for small drillfiles.  Patch from Trevor Blackwell.
* Fix setting of the initial window size when the screen
  is larger than the display. Patch from David Carr.
2007-11-29 04:04:15 +00:00
rillig
105dd4b97c Some packages need lex and yacc. Patch by Aleksey Cheusov via
pkgsrc-users.
2007-11-17 12:04:11 +00:00
dmcmahill
712a4b2905 update to gwave-20060606
Most of the previous pkgsrc patches have been incorporated upstream (yay!).

New in 20060606
- ??

New in 20051222
- full-height vertical scrollbar appears if the total height of all
panels becomes larger than the window.
- new panel type "jumbo" stays larger even when things get crowded
- most deprecated guile calls removed.  Guile 1.6 or later is now required.

New in 20050928
- ALPHA RELEASE: may crash.  do not rely on for production use.
- handling of multi-sweep datafiles
- vertical scrollbars on label/measure area in each wavepanel make handling
large numbers of waveforms easier.

New in 20031202
- Got docstring extraction from C source to .txt files in doc directory working again
- applied submitted patch that cleans up warnings from gcc3 about multiline text strings in C code

New in 20030917
- bugfixes to make the new print/plot stuff work better
- path to working gnu graph found by configure is passed to scheme code
2007-11-15 23:53:48 +00:00
jlam
ae9b935fd0 * If PLIST_SRC is explicitly set to an empty value in a package Makefile,
then automatically generate a PLIST that says "${PKGNAME} has no files".

* If PLIST_SRC and GENERATE_PLIST are not set in a package Makefile,
  and no PLIST files exist, then fail during the package build with
  PKG_FAIL_REASON.

* Remove "intentionally empty" PLISTs again.

Now, the easy way to say that a package installs no files is to just
add the following to the package Makefile:

	PLIST_SRC=	# empty
2007-10-25 22:00:39 +00:00
jlam
38c496d6c0 Re-add "intentionally empty" PLISTs for meta-packages and other packages
that directly manipulate empty PLISTs.

Modify plist/plist.mk so that if the PLIST files are missing and no
GENERATE_PLIST is defined, then the package fails to build.
2007-10-25 17:49:45 +00:00
jlam
56ba4d2690 Remove empty PLISTs from pkgsrc since revision 1.33 of plist/plist.mk
can handle packages having no PLIST files.
2007-10-25 16:54:26 +00:00
martti
bd986edbc7 Remove trailing spaces. 2007-10-09 19:19:08 +00:00
joerg
6eb7ef25e4 Use PTHREAD_AUTO_VARS for platforms where thread library != -lpthread. 2007-10-05 21:02:02 +00:00
dmcmahill
4f48036f51 add missing -lm 2007-10-02 06:17:09 +00:00
rillig
50391ff543 Fixed gcc 4 error message. 2007-09-29 12:08:25 +00:00
dmcmahill
ad33065b71 Update to gtkwave-3.1.1. The old 2.* branch is no longer being maintained.
There are a large number of changes in this update.  Many bugs have been fixed,
VCD writing has been improved, the build system has migrated to a fully
autoconf/automake system from a partial gnu system.

The code written as part of the 2007 Google summer of code has been incorporated
which allows waveform reloading and provides the framework for further enhancements.

For the complete set of changes, see CHANGELOG.TXT in the distribution file.
2007-09-23 13:22:45 +00:00
dmcmahill
2e1ca482de Update to pcb-20070912
========================================================================
Release Notes for PCB snapshot 20070912
========================================================================
This release represents nearly 200 commits and as such this summary
clearly is not complete.  See the ChangeLog file for the complete list
of changes.

- Many many bug fixes.  Too many to list.
- Added keystone, C&K, Nichicon, and Optek footprint libraries
- Add attribute editor to the GTK HID
- Add a fileselect() function to the HID spec
- Fix ps output with drill helper on
- Add a visual indicater when elements are locked
- Corrections to the manual
- BOM can export in mm as well as mil
- Fix a ps output bug with arcs
- Fix some memory leaks found with Coverity
- Added autoconf test for buggy versions of m4
- Fixed some footprint drill sizes
- Add user customizable menus for the gtk HID.  The gtk HID now is capable
  of reading the same format menu resource files as the lesstif HID.
  This represents significant rework of the menu code and menu callback code.
- Added Center() action
- Store the file format in the .pcb file.  This will let pcb know when
  presented with a file which is too new.  The code for checking the format
  was present in the prior snapshot.
- Fix DRC checking of square pads to square pads
- Added window panning/cursor warping
- Add the ability to directly load a footprint from a file as if it were
  a complete layout.
- Add a Popup() action
2007-09-13 02:24:51 +00:00
jlam
081c0f8957 Allow a nicer syntax for expressing needing at least a certain version
of an emulated operating system.  Instead of proliferating things like
SUSE_VERSION_REQD, NETBSD_VERSION_REQD, SOLARIS_VERSION_REQD, etc., a
package can say:

	EMUL_REQD=	suse>=9.1 netbsd>=2.0 solaris>=10

all in one, succinct line.
2007-08-23 18:20:30 +00:00
rillig
6aecf48699 Added mk/misc/category.mk, which contains the definitions that are only
useful for category Makefiles, as opposed to bsd.pkg.subdir.mk, which is
also relevant for the top-level directory. Adjusted the category Makefiles.
2007-08-13 08:12:41 +00:00
joerg
d6ff0dbe9d Add Python dependency, use tools for bash and REPLACE_BASH and
REPLACE_PYTHON. bump revision.
2007-08-11 15:09:16 +00:00
joerg
21701afeab Don't use malloc.h. 2007-08-08 19:15:44 +00:00
joerg
14b4b4cba7 Don't use malloc.h. 2007-08-05 17:16:29 +00:00
joerg
c84e5b58b3 Don't use malloc.h. 2007-08-01 21:24:57 +00:00
jlam
33f30156fb * Add new emulator framework in pkgsrc/mk/emulator that handles all
binary-only packages that require binary "emulation" on the native
  operating system.  Please see pkgsrc/mk/emulator/README for more
  details.

* Teach the plist framework to automatically use any existing
  PLIST.${EMUL_PLATFORM} as part of the default PLIST_SRC definition.

* Convert all of the binary-only packages in pkgsrc to use the
  emulator framework.  Most of them have been tested to install and
  deinstall correctly.  This involves the following cleanup actions:

    * Remove use of custom PLIST code and use PLIST.${EMUL_PLATFORM}
      more consistently.

    * Simplify packages by using default INSTALL and DEINSTALL scripts
      instead of custom INSTALL/DEINSTALL code.

    * Remove "SUSE_COMPAT32" and "PKG_OPTIONS.suse" from pkgsrc.
      Packages only need to state exactly which emulations they support,
      and the framework handles any i386-on-x86_64 or sparc-on-sparc64
      uses.

    * Remove "USE_NATIVE_LINUX" from pkgsrc.  The framework will
      automatically detect when the package is installing on Linux.

  Specific changes to packages include:

    * Bump the PKGREVISIONs for all of the suse100* and suse91* packages
      due to changes in the +INSTALL/+DEINSTALL scripts used in all
      of the packages.

    * Remove pkgsrc/emulators/suse_linux, which is unused by any
      packages.

    * cad/lc -- remove custom code to create the distinfo file for
	all supported platforms; just use "emul-fetch" and "emul-distinfo"
	instead.

    * lang/Cg-compiler -- install the shared libraries under ${EMULDIR}
	instead of ${PREFIX}/lib so that compiled programs will find
	the shared libraries.

    * mail/thunderbird-bin-nightly -- update to latest binary
	distributions for supported platforms.

    * multimedia/ns-flash -- update Linux version to 9.0.48 as the
	older version is no longer available for interactive fetch.

    * security/uvscan -- set LD_LIBRARY_PATH explicitly so that
	it's not necessary to install library symlinks into
	${EMULDIR}/usr/local/lib.

    * www/firefox-bin-flash -- update Linux version to 9.0.48 as the
	older version is no longer available for interactive fetch.
2007-07-29 05:18:36 +00:00
dmcmahill
c42767fd7d Point at guile16-gtk instead of guile-gtk and the latter now builds
against the guile-1.8.x series and gwave will not compile with guile
newer than the 1.6.x series.
2007-06-18 00:41:16 +00:00
dmcmahill
ad29131dbb add missing file to PLIST 2007-06-08 23:52:02 +00:00
wiz
616818d575 Remove dead mirror site. From Zafer Aydogan. 2007-06-03 23:27:23 +00:00
dmcmahill
446ea4e719 update to 20070526
Release notes for the gEDA/gaf 20070526 snapshot

   Changes
     _________________________________________________________________

   libgeda/gschem

     * libgeda's shared library version is now 29:0:0.
     * Added  "recently used files" File menu option. Now you can open up
       files  you  used recently (and if you have a gafrc your components
       should  be  found  too)  and  open  those files up in a new gschem
       window. (Ivan Stankovic)
     * Merge  of  the noscreen branch (many changes). This branch removed
       all  cached  screen  coordinates. Now all calculations are done in
       world  coordinates and should yield some drawing improvements. End
       users  should  not  notice  any  difference (other than the slight
       speed up). (Peter Clifton)
     * Many  improvements  to  the "Write image..." dialog box in gschem.
       gschem   can   now   export   any   image  that  gtk+  (gdk-pixbuf
       specifically)  can write (png, jpg, ico, bmp, etc...). Libgd is no
       longer   an   optional   dependency   and   cannot  be  used  with
       libgeda/gschem. (Carlos Nieves Onega)
     * Improved  the  auto  placing  mechanism.  One improvement (amongst
       others)  is  in  the  cases of: if the attribute overlap with pins
       (including  pinnumbers),  or  the pin connection direction where a
       net  is  supposed  to  be  drawn,  then  the  attributes are moved
       automatically. (Carlos Nieves Onega)
     * A  few  improvements  to  the  component  place selector including
       adding  ok  button  to  hide  the  component  insert  dialog box).
       (Various)
     * Added  items  (links to the wiki and FAQ) to the gschem help menu.
       (Peter Brett)
     * Fixed  a  nasty  bug  that was causing random crashes with keymaps
       that did not have a valid keyval/keysym. (Peter Clifton)
     * Fixed  the  drawing of dotted arcs/circles when the dot spacing is
       too small to render. (Peter Clifton)
     * Fixed  component  selector  filter to be case insensitive. (Carlos
       Nieves Onega)
     * Added  PageUp  and  PageDown  hotkeys  to  change pages in gschem.
       (Peter Brett)
     * Fixed  the  ordering  of  buttons  in  all  gtk dialog boxes to be
       correct  (based  on  what gtk+/gnome/desktop conventions). (Carlos
       Nieves Onega)
     * Added  %%Orientation  to  DSC  block  in  postscript output. (Mike
       Jarabek)
     * Fixed  color  printing  of  select  objects (should not output the
       selected color). (Peter Clifton)
     * Added  hotkeys  to  decrease  and  increase the snap grid spacing.
       (Carlos Nieves Onega and Peter Brett)
     * Improved keymap filling routines (Werner Hoch and Patrick Bernaud)
     * Fixed a couple of small memory leaks. (Ales Hvezda)
     * The usual slew of bug fixes by many individuals.
     * A bunch of code cleanup/fixes by various individuals.
     * Various language translations updated by various individuals.

   gnetlist
     * New gnetlist backends written: Calay and Osmond. (John Doty)
     * Moved  the  loading  of  scheme  files using the "-l" command line
       before  the  schematics are loaded (this allows the scheme code to
       modify the various search paths).
     * Various  improvements  to  the  spice-sdb  backend  including  the
       treatment  of  slotted  parts  (so that pins are emitted in pinseq
       order). (Stuart Brorson)
     * Added  and improved a bunch of regression tests. The vast majority
       of  these tests are now run during make distcheck. (Stuart Brorson
       and Ales Hvezda)
     * A few bugs fixed. (Various)

   gattrib
     * Added doxygen generated docs. (Stuart Brorson)
     * A bunch of bugs fixed. (Stuart Brorson and Peter Clifton)

   docs
     * Removed  the  html  version of the gsch2pcb tutorial. Instead, the
       gsch2pcb  tutorial  is  now  part  of  the  wiki thanks to several
       individuals (Patrick Doyle and Bogdan Petrisor).
     * Updated  the  wiki  snapshot to the latest web wiki version. (Ales
       Hvezda)
     * Misc improvements to various wiki scripts. (Ales Hvezda)

   symbols
     * Added greek mu character. (Carlos Nieves Onega)
     * Added upper case greek omega character. (Jens Persson)

   gsymcheck
     * No significant changes.

   utils
     * Added refdes_renum test suite. (Dan McMahill and Stuart Brorson)
     * Incorporated  --gentle  into  refdes_renum which doesn't overwrite
       refdes'es already numbered. (Stuart Brorson)
     * Moved  gschemdoc  to  the gschem package. Bunch of improvements to
       the    gschemdoc    script   related   to   finding   local/remote
       documentation. (Carlos Nieves Onega)

   examples
     * Fixed  automake  infrastructure  to  install  2N3904 model for the
       TwoStageAmp example. (Stuart Brorson)

   For more a detailed changes, please look in the appropriate ChangeLogs
   in the source tarballs.
2007-05-31 12:48:32 +00:00
joerg
6336e80eb3 Change depdencies to be non-ambigious. Bump revision. 2007-05-21 10:04:40 +00:00
joerg
b1acdd7214 Use a much less fancy dependency on bash. Bump revision. 2007-05-20 17:04:36 +00:00
dmcmahill
cfdd7a3f35 use guile-gtk instead of guile14-gtk. The latter is very old. 2007-05-13 11:58:48 +00:00
dmcmahill
22c15cdb54 add missing lex/yacc to USE_TOOLS and fix a stupid
configure test that forces the name of LEX and YACC
to be "flex" and "bison -y".  The old test doesn't even
allow a path name.
2007-05-12 11:33:42 +00:00
dmcmahill
99278ab1b3 Remove myself as maintainer. I don't have the time or interest for
this one.
2007-05-09 02:42:25 +00:00
dmcmahill
0911693cef add and enable adms 2007-05-09 02:41:33 +00:00
dmcmahill
c0d2021ce6 import adms-2.2.5
adms is a code generator that converts electrical compact device models
specified in high-level description language into ready-to-compile c code
for the API of spice simulators. Based on transformations specified in
xml language adms transforms Verilog-AMS code into other target languages.
2007-05-09 02:40:18 +00:00
joerg
161c920c15 Prepare for switching to NO_MTREE=yes. 2007-03-24 19:21:18 +00:00
wiz
ea3886639e Bump PKGREVISION for cmake buildlink3.mk bump.
XXX: I have to check vtk*'s PLISTs and will do so next, but this
PKGREVISION bump is needed anyway.
2007-03-12 06:46:41 +00:00
wiz
bdf7eeadf1 Sort. 2007-03-08 21:56:40 +00:00
joerg
30823b1e96 Also expand X11BASE. 2007-03-08 14:24:23 +00:00
rillig
293dfa2ccb Replaced sed(1) and mv(1) with the SUBST framework. 2007-03-07 21:44:52 +00:00
joerg
8dd93de969 Modular Xorg support. Make it actually use the wrapper framework.
The build script overwrote PATH and didn't see the wrapper scripts
for that reason.
2007-03-07 14:59:06 +00:00
dmcmahill
4e8a43fb39 no need to explicitly use -lpng, pkg-config --libs libpng takes care of that 2007-03-02 23:08:12 +00:00
dmcmahill
9dbf69bde7 - don't overwrite LDFLAGS but rather append the stuff we need.
- add missing -lm (how did this work before?)
- remove one last GNU make-ism since there is a portable way of doing
  it
2007-03-02 12:20:47 +00:00
dmcmahill
1c23f230dc Update to dinotrace-mode-9.3c
* Dinotrace 9.3c 1/2/2007

***     Add signed decimal radix.  [by Uwe Bonnes]

***     Builds now take place in "obj_dir" to keep them distinct from sources.

****    Fix VCD files with 10 or 100 femtosecond resolution.

****    Fix dinotrace.el complaint about bad verilog-mode.el version.
        [Joseph Holtgrefe]

****    Fix core dump when no fonts are available.  [Dmitri Belimov]

* Dinotrace 9.3b 3/13/2006

***     Fix line number incrementing in Verilog errors. [Uwe Bonnes]

* Dinotrace 9.3a 6/13/2005

***     Fix too small buttons under openmotif.

***     Fix missing keyboard accelerators under openmotif.

* Dinotrace 9.2b 5/03/2005

***     The default extension for Verilog dumps is now .vcd instead of .dmp.

****    Fix traces containing only real numbers.  [Vitor Antunes]

****    Fix segfault when doing signal adds.  [Guy Hutchinson]
2007-03-02 02:46:15 +00:00
dmcmahill
4a29242913 Update to dinotrace-9.3c
* Dinotrace 9.3c 1/2/2007

***     Add signed decimal radix.  [by Uwe Bonnes]

***     Builds now take place in "obj_dir" to keep them distinct from sources.

****    Fix VCD files with 10 or 100 femtosecond resolution.

****    Fix dinotrace.el complaint about bad verilog-mode.el version.
        [Joseph Holtgrefe]

****    Fix core dump when no fonts are available.  [Dmitri Belimov]

* Dinotrace 9.3b 3/13/2006

***     Fix line number incrementing in Verilog errors. [Uwe Bonnes]

* Dinotrace 9.3a 6/13/2005

***     Fix too small buttons under openmotif.

***     Fix missing keyboard accelerators under openmotif.

* Dinotrace 9.2b 5/03/2005

***     The default extension for Verilog dumps is now .vcd instead of .dmp.

****    Fix traces containing only real numbers.  [Vitor Antunes]

****    Fix segfault when doing signal adds.  [Guy Hutchinson]
2007-03-02 02:44:13 +00:00
dmcmahill
dcd545e376 add and enable nelma 2007-03-02 02:00:49 +00:00
dmcmahill
5c243ec4ca import nelma-3.0
Nelma is a (command line) tool for numerically calculating various
electrical properties of printed circuit boards or similar objects
composed of conductors and dielectrics (however code is optimized for
circuit board-like geometry).

It is currently capable of calculating capacitances between objects -
nets on a PCB. It returns a spice-compatible description of an
equivalent circuit of stray capacitances that can be for example used
for more accurate circuit simulation. Alternatively it can also
produce field data that can be plotted for example with Gnuplot.

Support for calculating resistances existed for a while but was later
removed because it didn't receive much testing.

Nelma is available under the GNU General Public License version 2.
2007-03-02 01:59:47 +00:00
dmcmahill
e6cf00da4a update to verilog-current-20070227
Release Notes for Icarus Verilog Snapshot 20070227

* Fix some problems with specify block parsing. Detect some cases that
  are parsed but not properly implemented yet and issue warnings or
  errors. Also fixed a few problems with inertial delay model timing.

* Detect is some cases Verilog source errors that can be better
  reported to users. This includes more specific error messages for
  certain syntax errors.

* Fix problems with overridden continuous assignments.

* Hide bool types from logic type as far as VPI is concerned, for the
  sake of compatibility.

* Fix a variety of code generator expression lifetime bugs that caused
  obscure (and wrong) output results in behavioral code.

* iverilog-vpi uses the compiler selected at build time.

* Rework handling of strings to handle escape sequences properly.

* Fix some handling of real values in some expression types.

* Get padding of sized, unsigned numbers when x or z are involved.

* Many, many more misc. bug fixes.

* Add an assert mechinism that improves usefulness of bug reports by
  reporting source file line numbers when available.

* Compile fixes, using inttypes.h instead of stdint for portability.

* Various spelling fixes.
2007-03-01 01:03:45 +00:00
dmcmahill
3c025b17d2 enable sparc64, mipsel{,64} 2007-02-24 03:43:49 +00:00
dmcmahill
efe0b50b16 fix WRKSRC and PLIST for x86_64 2007-02-24 03:32:07 +00:00
dmcmahill
2ca0cd5b5a fix WRKSRC and PLIST for x86_64 2007-02-24 00:54:26 +00:00
dmcmahill
c891b9e5c2 fix permissions on the installed docs and examples 2007-02-24 00:29:42 +00:00
dmcmahill
053f64df07 add missing *-*-x86_64 to ONLY_FOR_PLATFORM and update distinfo with the latest 2007-02-24 00:17:58 +00:00
dmcmahill
50aeb524c8 install the docs too 2007-02-24 00:05:59 +00:00
dmcmahill
e5f17de2c4 take a guess at the correct dependencies for NetBSD/x86_64 2007-02-23 23:42:58 +00:00
dmcmahill
d3a205177a fix the list of suse pkgs we need on netbsd/i386 2007-02-23 23:19:37 +00:00
dmcmahill
4abb2611ea remove an obsolete comment 2007-02-23 22:59:09 +00:00
dmcmahill
46dd1ecaa2 add and enable lc 2007-02-23 22:51:57 +00:00
dmcmahill
00e100e75b Initial import of lc-2.10
LC is simulation tool for the analysis of the electromagnetic
properties of electrical interconnects. The full three-dimensional
circuit is modeled, so all interactions are automatically included in
the solution. The model can be excited by numerous types of waveforms,
and the transient response measured using common values such as
voltage and current. Circuit parameters such as inductance,
capacitance, and impedance can be derived from the transient response,
and frequency-domain results such as S-parameters can also be
calculated. Far field radiation patterns can be obtained.

LC is primarily an electromagnetic simulation and uses the
Finite-Difference Time-Domain (FD-TD) technique. FD-TD is a full wave
explicit solution of Maxwell's equations in three dimensions. In FD-TD,
the rectangular volume enclosing the model is discretized into a large
number of small cells, which may be uniformly-sized, or may vary in size
within the simulation space. The dielectric, permeable, lossy, and
conducting material properties of each cell are incorporated into the
field updates, which are performed iteratively in small time steps.

An interface between the electromagnetic simulation and the circuit
simulation program SPICE is available within LC. This allows the user
to add arbitrary SPICE circuits, such as drivers and loads, into the
interconnect model. The interconnect performance is calculated using
FD-TD while the lumped-element circuits are evaluated by SPICE.
2007-02-23 22:50:40 +00:00
wiz
ea27b2b63c pkglint cleanup. 2007-02-23 16:43:17 +00:00
wiz
4e0ba208a9 Update to 6.92. Clean up package. Fix build with gcc4.
version 6.9
Update for wxWidgets 2.6.2
2007-02-23 16:42:30 +00:00
dmcmahill
84db25aa2c add a few missing items to the PLIST 2007-02-23 13:51:05 +00:00
wiz
601583c320 Whitespace cleanup, courtesy of pkglint.
Patch provided by Sergey Svishchev in private mail.
2007-02-22 19:26:05 +00:00
wiz
6e2c35c083 pkglint cleanup; update HOMEPAGE/MASTER_SITES.
From Sergey Svishchev in private mail.
2007-02-22 19:01:13 +00:00
dmcmahill
d1b1595f0a add missing entry 2007-02-22 04:25:56 +00:00
dmcmahill
d03a602106 Update to verilog-current-20070123
Release Note for Icarus Verilog Snapshot 20070123

Handling of arrays has been rewritten to allow support for net
arrays. This caused ivl_target API changes, as well as elaboration and
vvp run time changes. There may be bits of the old method lingering in
the source code.

Improve support for constant power (**) expressions, and other
constant exppressions that are passed to functions/tasks.

Improve elaboration of for-loop increment expressions. There were some
bugs there that are not fixed.

Fix argument width calculations for shift operations.

Constant ector expressions can have real constants. Handle this at run
time where needed.

Fix some bad lookaside optimizations for assignments to l-value part
selects.
2007-02-21 23:18:44 +00:00
dmcmahill
fd662eadc0 part of update to 20070216 2007-02-21 13:25:00 +00:00
dmcmahill
7ddc234e34 Update to 20070216 snapshot. Many changes and improvements since the
last snapshot.  A highly abbreviated list is:

libgeda:
  - fixes to postscript output
  - fixes to arc handling
  - added some functions for embedding and deembedding symbols
  - many changes to the internal data structure.
  - fix a bug which could cause missing connectivity on a bus
  - improvements to attribute handling
  - fix a bunch of compiler warnings.
  - various other bug fixes

gschem:
  - improvements and bug fixes to the autonumber code
  - improvements to the various file selection dialogs
  - improvements to attribute handling
  - improvements to the internal data structure
  - various other bug fixes

gnetlist:
  - fixes for guile-1.8
  - update the gsch2pcb backend to allow running or never running m4 for pcb
    footprints.
  - changed "package" to "refdes" in the BOM/BOM2 backends
  - added a drc2 regression test
  - bugfix in the allegro backend
  - other misc fixes

symbols:
  - added 4 missing characters to complete the hungarian character set
  - add greek mu

gsymcheck:
  - man page improvements

gattrib:
  - enhanced sorting functions
  - various bug fixes

utils:
  - add an option to gsch2pcb to let the user prevent m4 from ever being run
    when looking for pcb footprints.
  - let gsch2pcb look both for "name" and "name.fp" when looking for a footprint
    called "name".
  - use some configure options to set the default gsch2pcb footprint search paths
    rather than hardcoding them.
  - added a gnet_hier_verilog.sh script to do non-flattened verilog netlisting
  - added options to gschlas to embed or de-embed all symbols in a schematic
2007-02-21 13:23:52 +00:00
rillig
f8420ee1ac Made the package code a little simpler. 2007-02-15 14:10:52 +00:00
dmcmahill
84c8b9d3bb update to pcb-20070208p1
========================================================================
Release Notes for PCB snapshot 20070208
========================================================================
- Add polygon clipping code.  This is a big change to how polygons are handled.
  The new code now removes islands and correctly identifies open circuits caused
  by a trace fully cutting through a polygon.  In addition, the RS-274-X output
  is now simpler and works with some board houses that use older non-conforming
  sofware.  Different styles for thermal reliefs are also now supported as part
  of the polygon clipper code.
- Add support for plugins
- Many improvements to the autorouter.
- Various improvements to the trace optimizer.
- Add a fontmode for editing pcb fonts
- Add progress() hook to HID structure
- Fix a bug with non-functional windows on some window managers commonly
  found on OS-X
- Add support for controlling pcb via dbus
- Fix various bugs which would cause a crash
- Add --scale for postscript scaling
- Intercept window manager delete events with the GTK gui
- Scan the .pcb file for a FileVersion value.  This is not written out yet
  but will be in future versions.
- Warn if non-manhattan lines are trying to become pads.
- Allow no-solder paste pads to support fiducials
- Report in mm or mils as selected by user
- Allow reordering of layers
- add some more QFN packages
- fix building with sun studio c compiler
- Made a pcb installation be relocatable.
- Convert the m4 libraries to newlib libraries as part of building a distfile.
  The m4 libraries are still considered the sources and as such are still
  distributed but this eliminates the need for m4 at runtime for footprints.
- Got rid of the pcb wrapper script around pcb-bin.
- Remove some old footprints of questionable naming, accuracy, or usefulness.
- Get the autosave/backup code working on all GUI's
- Fix some drill size rounding in the reports
- Changed the backup file name to be derived from the .pcb file name
- Added a command line option for DrawGrid
- Fix logic for adding new ratlines
- Fix gtk grid when board is flipped
- Add "find" and "rip-up" buttons to the netlist window
- Draw plated holes when exporting
- Fix some bugs when converting selection to element
- Fix build on cygwin
- Enhance the win32/build_pcb script used to generate a non-cygwin windows
  installer.
- Make pcb work under non-cygwin windows
2007-02-09 23:53:05 +00:00
markd
59788fb9d5 Update py-simpy to 1.8
SimPy 1.8 is a major release with new capabilities, improved documentation
and bug fixes. It is fully backwards compatible with previous versions.
From SimPy 1.8 on, the obsolete Python version 2.2 is no longer supported.
Some of the changes and improvements are:
*  New compound yield statements, supporting time-out or event-based
   reneging in 'get' and 'put' operations on Store and Level resources.
*  yield get on a Store resource can now have a filter function which
   selects which and how many items (e.g. "3 parcels weighing less than
   3 pounds") should be retrieved.
*  The Manual has been thoroughly edited, restructured and rewritten
   with a view to greater clarity and readability. It is provided in both
   HTML and PDF format.
*  The Cheatsheet has been completely rewritten in a tabular format and
   reduced to just a few pages. The goal was to turn it into a concise
   desktop reference of SimPy commands. The Cheatsheet is provided in both
   XLS (MS Excel spreadsheet) and PDF format.

SimPy 1.7.1 is a minor (maintenance) release which fixes a few bugs
in 1.7. The SimPy 1.7.1 API is identical to that of version 1.7.

SimPy 1.7 is fully compatible with version 1.6.1. This major release brings
significant new capabilities for the SimPy modeller and thus a broader
field of applicability to SimPy. It is now very easy to implement models
for scenarios involving:

 * producer/consumer relationships between processes,
 * multi-process cooperations,
 * multi-resource requests, and
 * consumable resources.
2007-02-05 19:44:15 +00:00
joerg
b5ac41c382 Modular Xorg support. 2007-01-30 19:48:47 +00:00
joerg
4df63b6d56 Modular Xorg support. 2007-01-30 19:11:21 +00:00
joerg
a831911e91 No need to include x11.b3.mk explicitly. 2007-01-26 23:03:39 +00:00
dmcmahill
dfb08d39f8 fix this package when building with sunpro compilers 2007-01-23 02:49:23 +00:00
dmcmahill
cc1cd37483 Get this going with sunpro compilers. Gets rid of
Error: An integer constant expression is required within the array subscript operator.
VS: ----------------------------------------------------------------------
2007-01-22 17:41:17 +00:00
rillig
00af75a99a LOCALBASE should be used instead of PREFIX when referring to existing
files. This allows setting INSTALLATION_PREFIX for the package.
2007-01-20 01:47:00 +00:00
dmcmahill
c51e250cd9 add missing USE_PKGLOCALEDIR which broke some of the wcalc pacakges on solaris 2007-01-17 14:19:43 +00:00
dmcmahill
6428b177fd Instead of hardcoding 'gcc' as the c++ compiler (not g++ but gcc), use
AC_PROG_CXX to find a c++ compiler.  This fixes building with sunpro.
The result seems to run ok.  Patches submitted upstream.
2007-01-16 17:13:37 +00:00
dmcmahill
6f3772be2d Add an option to allow a batch mode pcb to be built. Useful for webserver
environments.
2007-01-09 11:55:52 +00:00
rillig
8ce2367823 Fixed PKGMANDIR. 2007-01-08 21:54:51 +00:00
joerg
888d84321c Modular Xorg support. 2007-01-08 17:58:08 +00:00
wiz
5321308ece PKGREVISION bump for flac shlib major bump and corresponding ABI
depends bump.
2007-01-07 12:25:50 +00:00
rillig
2829e658f2 Mechanically replaced man/* with ${PKGMANDIR}/* in the definition of
INSTALLATION_DIRS, as well as all occurrences of ${PREFIX}/man with
${PREFIX}/${PKGMANDIR}.

Fixes PR 35265, although I did not use the patch provided therein.
2007-01-07 09:13:46 +00:00
rillig
765063e1fd Fixed file permissions. 3270 files had been installed world-writable.
PKGREVISION++
2007-01-02 13:44:23 +00:00
dmcmahill
dd33717eb4 fix corrupted patch 2006-12-24 10:20:34 +00:00
dmcmahill
16d34848fe Fix compilation with non-gcc compilers such as the sun studio
compiler.  Patch is already in the upstream sources.
2006-12-21 02:49:28 +00:00
dmcmahill
bba823b7e1 Fix this on compilers (sun studio for example) without __FUNCTION__.
Patch is already in upstream sources.
2006-12-21 00:19:54 +00:00
dmcmahill
5ac04dc30d work around the use of __FUNCTION__ in compilers that may not have it.
Builds on solaris with sun studio compilers now.  Patch already in the
upstream sources.
2006-12-20 23:43:40 +00:00
joerg
58c3144236 Mechanically replace all includes of buildlink3.mk of the following
packages with the modular Xorg equivalent. Those are falling back
to the old location by default, so this commmit doesn't change
dependencies.

graphics/xpm ==> x11/libXpm
fonts/Xft2 ==> x11/libXft
x11/Xfixes ==> x11/libXfixes
x11/xcursor ==> x11/libXcursor
x11/Xrender ==> x11/libXrender
x11/Xrandr ==> libXrandr
2006-12-15 20:32:52 +00:00
dmcmahill
221c425b9b regen 2006-11-12 14:26:47 +00:00
dmcmahill
8637b76bce Update to xcircuit-3.4.26. Provided by Shaun Amott in PR pkg/34443.
There have been many updates and minor versions between the last packaged
version and this one.  These updates have included many bug fixes including
several bugs which would cause a crash, bugs in the generated netlists,
and others.
2006-11-12 14:25:50 +00:00
mlelstv
c75642946e Theses patches were omitted from the last commit. 2006-10-28 08:46:13 +00:00
dmcmahill
bd03a0b9c2 update to 20061020
Release notes for the gEDA/gaf 20061020 snapshot

   Changes
     _________________________________________________________________

   libgeda/gschem

   libgeda  and gschem no longer use libgdgeda (which was a gEDA specific
       hack to the libgd library). gEDA/gaf now wants to use the original
       GD  library.  (Wojciech  Kazubski  and  Carlos  Nieves  Onega with
       cleanup by others)
     *
     * gEDA/gaf now compiles out of the box on cygwin. (Cesar Strauss)
     * Fixed  bug  #1553544: "New pages inadvertently created when adding
       nets in gschem" (Peter Clifton)
     * New component selection dialog box for gschem. This one is so much
       better  than  the  original  one.  Please  test  it out and submit
       comments to the mailing lists. (Patrick Bernaud)
     * The preview window is inside the file selectors again. The preview
       window has been refactored and improved. (Patrick Bernaud)
     * gschem  now places a title block (or any component the user wants)
       when a new page/window is created. This solves the common complain
       that the initial zoom is way to far out. (Carlos Nieves Onega)
     * Improvements  to  the  new  print  dialog box: Make print settings
       sticky for session. (Peter Brett)
     * Fixed  bug #1527465: Do a zoom extents for all pages when the main
       window is maximized. (Carlos Nieves Onega and others)
     * Fixed  bug  #1565433:  Added  the border in the gdk-pixbuf's image
       output. (Carlos Nieves Onega)
     * New  dialog  for  user  confirmation  before  closing  a page or a
       window.  This  is  also a great improvement over the previous exit
       confirm dialog box. (Patrick Bernaud)
     * Various language translations updated.
     * libgeda's shared library version is now 27:0:0.
     * Many more bug fixes and code cleanups. (various people)

   gnetlist
     * Fixed   PCB  cursor  related  issues  (Peter  Clifton  and  Stuart
       Brorson).
     * Minor cleanup of gnet-PCB backend. (Thien-Thi Nguyen)

   gattrib
     * Made  gattrib throw up GUI window warning user of no components or
       attributes. (Stuart Brorson)
     * File  browsers  in gattrib are now the same ones that gschem uses.
       (Patrick Bernaud)
     * The usual bug fixes and code cleanup. (various)

   docs
     * Updated the wiki snapshot to the latest web wiki version.

   symbols
     * linear/lm311-1.sym:  Removed  the  GND  false  connection  of  the
       symbol.  (Ramakrishnan  Muthukrishnan,  John  Luciani,  and Carlos
       Nieves Onega).
     * Lots of off grid symbols cleaned up. (Werner Hoch)

   gsymcheck
     * Adds  newline that is missing from the "Found Pintype=..." message
       in s_check_pintype() in s_check.c. (Jeff Mallatt and Carlos Nieves
       Onega)
     * gsymcheck  now  counts the number of distinct pinnumbers specified
       in  all  slotdef= attributes. Uses that number, plus the number of
       net=  pins, to compare with the "footprint size" when checking for
       that warning. (Jeff Mallatt and Carlos Nieves Onega).

   utils
     * Applied  patches for the cygwin port. Lots of various improvements
       to  make  gschemdoc more Windows friendly (at least under cygwin).
       (Cesar Strauss, Peter Brett, and Carlos Nieves Onega)

   examples
     * No significant changes

   For more a detailed changes, please look in the appropriate ChangeLogs
   in the source tarballs.
2006-10-23 09:43:12 +00:00
rillig
96f11bce09 Sorted. 2006-10-21 19:22:23 +00:00
rillig
252d522fc7 Fixed "test ==". 2006-10-21 19:22:12 +00:00
agc
92ed9877eb Patches from Hans Rosenfeld to make gtkwave compilable with gcc4. 2006-10-15 13:29:08 +00:00
rillig
e0461a6624 Fixed "test ==". 2006-10-14 02:18:37 +00:00
dmcmahill
63a693cf31 update to covered-current-20060904
* 09/04/2006

Development release covered-20060904 made.  This is primarily an enhanced language support release
containing support for the Verilog-2001 'generate' block and support for some SystemVerilog constructs.
All bug fixes from the stable release branch have also been included in this release as well.  Some
updates to the GUI (to match changes made on the score command side).  The following is a list of
changes made from the last development release

  - Complete parsing/simulation support for generate blocks include generate for, if/else and
    case constructs.
  - Fixed bug in hierarchically referencing items within an array of instances.
  - Added -g option to score command to allow the user to specify on either a global or modular
    level which Verilog generation to consider for that design.  This allows a block of logic written
    with Verilog-1995 in mind to use names that would be keywords in Verilog-2001 or SystemVerilog,
    as an example.
  - Removed "manstyle" type documentation in user's guide as this tool is no longer used for this
    project.  This change should be transparent to the user, however.
  - Fixed scoping/hierarchical referencing rules to match the Verilog LRM properly.
  - Added parsing/handling support for SystemVerilog always_comb, always_ff and always_latch blocks.
  - Added parsing support for 'unique' and 'priority' SystemVerilog keywords before if and case
    statements (Covered doesn't need to do anything with them, however).
  - Added parsing/handling support for 'do .. while' SystemVerilog loops.
  - Added parsing/handling support for new SystemVerilog data types, including:  byte, bit, logic,
    char, shorting, int and longint.
  - Added -rI option to the score command which allows the user to completely bypass the race
    condition checking phase of the score command.
  - Added -B global option which obfuscates all identifying names from Covered's output (for use
    in providing debugging information to the developer's of Covered).
  - Added parsing/handling support for operate-and-assign SystemVerilog operators, including:
    +=, -=, *=, /=, %=, &=, |=, ^=, <<=, >>=, <<<=, >>>=, ++ and --.  These can be used wherever
    their counterparts can be used (including generate for loops).
  - Added proper handling of Verilog-1995 delayed blocking assignments (i.e., "a = #5 b;" or
    "a = @(posedge clk) c;").  Previously, the delay was being incorrectly ignored which could
    have lead to infinite looping of always/forever blocks or could calculate incorrect coverage
    information.
  - Added parsing support for SystemVerilog .name and .* port lists.
  - Added partial parsing/handling support for SystemVerilog 'typedef' usage.  This should work for
    enumerations but not other data types at this point.
  - Added parsing/handling support for SystemVerilog 'enum' constructs.  These should be fully
    supported with the exception of their built-in '.first', '.last', '.next', '.prev', '.num' and
    '.name' methods.
  - Added full support of handling Verilog-1995 repeated delay blocking assignments (i.e.,
    "a = repeat(5) @(posedge clk) b;".  These were previously being treated as normal blocking
    assignments.
  - Added keyword highlighting support in GUI for Verilog-2001 and SystemVerilog keywords depending
    on the -g value specified for a particular module.
  - Added parsing support for SystemVerilog assertion, property and sequence blocks.  These constructs
    are ignored by the parser but should not cause a parsing error now.
  - Added parsing support for SystemVerilog multi-dimensional arrays.  These are ignored by the
    parser but should not cause an error.
  - Added full support for the SystemVerilog $root global space -- though limited testing has
    been performed with this at this point.
  - Added -s option to the report command to suppress the output for modules/instances that contain
    no coverage information.
  - Updated all user documentation to match changes made for this development release.
  - Lots of new diagnostics added to regression suite to verify the majority of these changes.

There you have it.  A lot of enhancements made for language support for Verilog-1995, Verilog-2001
and SystemVerilog.  Some of the additions for SystemVerilog, especially typedefs and $root global
space, have not been fully verified to work and may still be a bit buggy, but everything else should
be expected to work as advertised.  Please submit any bugs that you find.  The next development
release should contain support for some more language enhancements, including full support for
typedef and enumeration usage, support for memories, multi-dimensional arrays, structs and unions.
I will also be looking at adding support for bitwise coverage information (for vectored calculations).
As always, have fun!
2006-10-12 03:13:30 +00:00
dmcmahill
11b9570188 update to covered-0.4.7.
* 08/30/2006

Stable release covered-0.4.7 made.  This release is mostly a bug fix release with two feature
additions.  The new feature is the global -B option was performs name obfuscation on all identifying,
design-sensitive names from all output (with the exception of CDD file output).  This option is
mostly useful as a way to share debugging information with the maintainer(s) of Covered without giving
away sensitive information.  Additionally, the -rI score option was added to allow user's to completely
skip the race condition checking phase.  This allows users to force Covered to consider all code for
coverage (if race condition checking is performed, all code considered to be potential race conditions
are automatically excluded from coverage consideration).  User documentation has been updated for these
changes.  The following lists the changes in this release.

  - Fixed bug 1535412.  Implicit event expressions (i.e., "always @*") now traverse named begin/end
    blocks correctly to search for RHS variables.
  - Fixed bugs related to segmentation faults and memory leak issues
  - Fixed hierarchical reference search to match Verilog-1995 LRM
  - Fixed bug 1538922.  If -vcd or -lxt option was specified twice, an incorrect error message was
    displayed to the user.
  - Added -rI option to allow user's to bypass the race condition checking step in the score command.
  - Fixed bug 1538920.  Handling of any-edge triggered events (i.e., "@(b)") was incorrectly handled
    by Covered.  Additionally, fixed the event trigger operator (->) to work correctly with the new
    changes.
  - Fixed bug 1541944.  Command options that require a value are now checked to make sure that a value
    exists, and an appropriate error message is output if this is not achieved.
  - Fixed bug 1542454.  Command options that must only be allowed once on a command-line are now
    checked and handled appropriately (caused a segmentation fault previously).
  - Fixed bug 1544322.  When an AND- or OR-type expression has either a left or right expression evaluate
    to 0 or 1, respectively, Covered now outputs correct coverage information when the opposite expression
    evaluates to an X or Z value, simultaneously.
  - Fixed bug 1544325.  Multi-variable expressions are now always output as such in report files.
  - Fixed bug 1544169.  Avoiding consideration of named begin/end block keywords in line coverage.
  - Fixed bug 1546059.  Covered now properly handles the removal of statement blocks that cannot be
    considered for coverage which also contain parameters from another functional unit block.
  - Fixed bug with connection of statements in a statement block.
  - Updated documentation to match new features added in this release.

    * 07/22/2006

Stable release covered-0.4.6 made.  This release contains several bug fixes that are listed below.

  - Fixed segmentation fault bug which occurs when a multi-bit select on the LHS contains any
    expression besides static values.
  - Fixed several memory leaks found with valgrind
  - Fixed bug 1520159 - arrays of instances incorrectly always started at index 0.
  - Fixed bug 1521598 having to do with reduction unary invert and logical not operators not
    calculating correctly.
  - Fixed bug in db_read when a functional unit is being merged to point to the used module.
  - Fixed assertion in link.c such that no segmentation fault occurs if a string is not found
    in a str_link list for deletion.
  - Fixed bug pertaining to embedded concatenations within function/task parameter lists.
  - Fixed bug pertaining to empty named begin-end blocks.
  - Fixed bug in the db_read function that would cause Covered to flag an internal assertion
    error if an error occurred while reading the CDD file and the global -D option was specified.
  - Fixed upwards name referencing bug (1524705).
  - Enhanced regression suite to verify that all of these bugs are properly fixed.

    * 07/08/2006

Stable release covered-0.4.5 made.  This release contains a bug fix to properly handle cases
where two or more signals are declared with a parameter in their range field (i.e., reg [FOO:0] a, b;)
This caused Covered to segfault due to attempting to free the same address twice.  Bug 1518932.
Also contains a bug fix to properly remove statement blocks that contain case, casex or casez
expressions and unbindable expressions.

    * 5/28/2006

Stable release covered-0.4.4 made.  This release contains a bug fix to proper handle hierarchical
referencing of parameter values.  This feature was technically not supported in the past but caused
an internal assertion error when this was performed.  The feature is now fully supported.

    * 4/21/2006

Stable release covered-0.4.3 made.  This release contains a bug fix to the statement connection function that
caused segmentation faults during the score command.  Also added support for big endian wires/regs.  This
information was being ignored by the parser and, consequently, was not being handled correctly by Covered's
internal simulator, leading to incorrect coverage information.  The lack of this support was also causing
an internal error in the memory allocation routine when scoring the dumpfile.

    * 4/17/2006

Stable release covered-0.4.2 made.  This release contains a bug fix that caused an assertion error in the
binding.c source file to occur.  The reason for this assertion was a syntax error in the parser that caused
problems when more than one task call was made in a statement block.  Also added support for multi-line
definitions (i.e., a '\' character used at the end of a definition line).  This was missing but was not meant
to be missing.

    * 4/4/2006

Stable release covered-0.4.1 made.  This release contains one bug fix that causes an assertion error when
compiling designs that use a concatenation operation on the left-hand-side of assignment statements.  If
you are experiencing this problem with the 0.4 release, it is recommended that you use this new release
instead.

    * 3/29/2006

Stable release covered-0.4 made.  In addition to all of the features, optimizations and bug fixes
that have gone into the development releases from the 0.3 stable release, the following features, updates
and bug fixes have been added.

  - Fixed bug with a statement connection issue that causes lines of code to be not considered for
    coverage that should have been.
  - Fixed bug in report command where combinational expressions were not being output to match the original
    Verilog code.
  - Added CDD file viewer window to GUI to allow the user to see which CDD files are currently loaded/merged.
  - Fixed bug in combinational logic verbose viewer which caused the window to resize dependent upon
    the location of the cursor (this was an annoyance)
  - Changed the output of simple combinational logic to change to unary combinational logic output if either
    the left or the right expression was a constant value (eliminates unachievable combinational logic cases
    from being output leading to more accurate coverage results).
  - Removed combinational expressions that contain only constant values from being considered for coverage.
  - Updated simple combinational logic output in reports to be as concise as possible for AND and OR type
    expressions.
  - Removed duplication of information in CDD files for race conditions.
  - Fixed bug in GUI dealing with showing race conditions
  - Fixing bug in GUI pertaining to the next/previous buttons in the combinational logic detail viewer.  Previously,
    clicking on one of these buttons would only advance you to the next uncovered line.  Now it will advance
    you to the next uncovered statement.
  - Updated development, user and GUI documentation to reflect the above changes and to bring them up-to-date
    with the rest of the tool.

Please see the ChangeLog file for all changes made from the 0.3 stable release to the 0.4 stable release.
Lots of enhancements, features, optimizations, bug fixes, performance improvements and documentation improvements
are contained in this stable release, making it very worth while for any Covered users to get their hands on
it.
2006-10-12 03:11:18 +00:00
dmcmahill
c5055365e8 Fix compilation on solaris and probably other systems with a posix
wait().  Patch (or equivalent) will be applied upstream.
2006-10-11 05:03:49 +00:00
dmcmahill
ee7b97c8b8 update to verilog-current-20061009
* Release Notes for Icarus Verilog Snapshot 20061009

The Big news is support for delay path timing is specify blocks. This
includes delay paths and specparams. Back annotation of specify path
timings are not yet implemented.

The "-g" flag has been made a bit more general so that individual
compiler features can be turned on/off. This for example allows for
turning off specify block support and Icarus Verilog extensions, as
well as select language generation.

A variety of bug fixes have been included.
- Missing symbols on Windows fixed.
- mingw build instructions reworked.
- Fix internal handling of -D__ICARUS__ define
- Fix crash of driver when -M flag is used.
- Fix configure detection of host in some subdirectories.
- Handle non-constant delays of index non-blocking assignments.
- $scanf support for real values.
- Fix scheduling of RWsync vs. ROSync callbacks.
- Fix vpi_put_userdata return value.

The iverilog-vpi command now allows .cpp files to stand for C++
source.
2006-10-10 00:19:49 +00:00
mlelstv
bf486336c3 Make package compile with gcc-4. 2006-10-09 20:04:38 +00:00
dmcmahill
7170cf5e3e update to verilog-0.8.3
** Release Notes for Icarus Verilog 0.8.3

This is a new release of the stable 0.8 branch. The changes from 0.8.2
are intended to be evolutionary, rather then revolutionary, to enhance
the stability of the branch.

Various simulator bugs have been fixed, including (but not limited to):
- Detect overrun of timescale vs. precision
- Handle more operators in constant expressions
- Various ivl crashes and panics fixed.
- Some performance bottlenecks have been fixed.
- Various tool compilation problems have been fixed.

Also, the internal synthesizer (for synthesis targets) has been
considerably improved. NOTE that the code generators have not been
improved to take advantage of all the changes here, so there is work
yet to be done.

The mingw build process for compiling in Windows has been reworked. It
is now possible (indeed preferable) to compile fully native Icarus
Verilog binaries on Windows with no Cygwin tools at all.
2006-10-04 23:52:47 +00:00
wiz
18e3283474 Update MASTER_SITES and/or HOMEPAGE, from Sergey Svishchev. 2006-10-04 20:46:42 +00:00
rillig
e5c87a8069 Sorted PLIST. 2006-10-01 13:53:30 +00:00
rillig
32702d1ed4 Replaced mv/sed with SUBST_*. Reindented some Makefile directives. 2006-10-01 13:52:17 +00:00