Commit graph

745 commits

Author SHA1 Message Date
dmcmahill
850f26413a bl3ify 2004-03-12 22:37:12 +00:00
dmcmahill
9a9228758c update to covered-current-20040211. The previous package was very out of
date.  While here bl3ify.  Changes are:

Covered-Related NEWS
====================

    * 04/11/2004

Release covered-20040210 made.  A lot of work has gone into this release to make the report
output more readable and concise.  Several bug fixes have been made as well.  A GUI is on
the way for report viewing that will be available in alpha version in the next development
release.  Below are some of the highlights of this release.

  - Added GUI interfacing functions in preparation of upcoming GUI report viewing utility.
  - Added more information about expressions to line and combinational logic coverage verbose
    information.  Rather than just outputting the RHS of the expression, the LHS and assignment
    operator (blocking or non-blocking) or IF statement are output to give the user a better
    context of the missed logic.
  - Fixed bug in param.c where parameters found in the RHS of expressions that were part of
    statements being removed were not being properly removed.
  - Fixed bug in sim.c where expressions in tree above conditional operator were not being
    evaluated if conditional expression was not at the top of tree.
  - Changed output of logic in combinational logic verbose coverage reporting to (by default)
    use the same format (in terms of endline characters) as the logic was found in the source
    code.
  - Added '-w [<line_width>]' option to report command that causes combinational logic to be
    output to report as much logic as will fit in the value of <line_width> in the report.  A
    default value of line width is specified internally in Covered to be 105 characters;
    however, the user may make this value larger or smaller to suit.  This value reverses the
    effect of the above bulletin.  Added this option to Covered's regression suite to test.
  - Completely modified output format of missing combinational logic coverage.  Removed a lot
    of coverage information that was extraneous.  When three or more subexpressions are ANDed,
    ORed, logical ANDed, or logical ORed, coverage information is output in a special way to
    increase readability/understandability for this coverage.
  - Added "GENERAL INFORMATION" section to all reports which specifies general information
    about this report (this eliminates a lot of redundant information in the report to improve
    readability).
  - Added the name of the CDD file from which a report has been generated from in the
    GENERAL INFORMATION section of the report.
  - When a CDD file is created due to merging CDD files, the names of the original CDD files
    are now stored in the merged CDD file.  This information is output in the GENERAL
    INFORMATION section of the report (created from this merged CDD file) to indicate to the
    user this information.
  - If a CDD file is created due to merging CDD files and the leading hierarchies in each of
    those CDD files are different, a bullet in the GENERAL INFORMATION specifies this and
    reminds the user that the leading hierarchy information will not be output in the rest of
    the report (instead the string "<NA>" replaces the leading hierarchy information).  This
    will help to eliminate confusion when viewing the reports and fixes an outstanding bug
    in Covered.
  - Added starting and ending line information to module structure for GUI purposes.
  - Removed scope information in CDD file for expressions, signals and statements.  This
    information was not used, caused CDD files to become excessive in size and mildly speeds
    up reading in CDD files.
  - Fixed bugs in combinational logic report section where summary coverage numbers and verbose
    coverage numbers did not agree.
  - Removed 'c' directory in 'diags' directory and cleaned up Makefile to run regressions.
  - Masked off the value of the SET bit in expressions output to CDD files.  This information
    is not needed and sometimes caused regression failures due to CDD file mismatches on
    different platforms or using different simulators.
  - Modified regression Makefile to specify the 'vvp' command prior to the compiled VVP
    executable when running Icarus Verilog regressions (due to recent change to IV).
  - Changed instance-based reports to not merge child instance coverage information into parent
    instance coverage information.  This is not done in module-based reports, makes reading
    this information confusing and doesn't provide us any extra information.
  - Fixed bug where modules were being reported in verbose reports when coverage numbers were
    100% covered.
  - Changed toggle coverage report output to output toggle information in hexidecimal format
    versus binary format.  This keeps the toggle coverage information more succinct/readable.
    Added underlines between every 4th hexidecimal value to help user's to discern the bit
    position of a toggle bit.
  - Changed the format of the report entirely to enhance readability (many changes here that
    the user will immediately see).
  - Updated user documentation for new changes and added new section called "Reading the
    Report" which will walk the user through several reports and how to interpret the report
    information.  This section is still in progress at this time.
  - Updates to development documentation.
  - Lots of new diagnostics added to regression suite.  We now have over 200 diagnostics in this
    regression.

Special note:  Please note that the CDD file format for this release has changed from previous
CDD files and is therefore incompatible with older versions.  If you try to read a CDD file
generated from an older version of Covered with the newer version, Covered will tell you that
this cannot be done due to incompatible CDD versions.

    * 11/16/2003

Release covered-20031116 made.  This development release contains a new way to specify FSMs
within the design by using inline Verilog-2001 attribute syntax.  There are also a lot of
bug fixes contained in this release as well as the usual user and development documentation
enhancements.  See the list below for more details on the changes made for this release.

  - Added better VCD parsing capability to allow bit selects to be "attached" to the signal
    names in the VCD variable definition section.  The newer versions of Icarus Verilog now
    output this format style.
  - Added ability to specify FSM location and transition information using Verilog-2001
    attributes.  Added many diagnostics to regress suite to verify this capability.
  - Fixed bug found in stable release that caused an incorrect calculation of unary operations
    performed on single-bit values.  Fixes bug 835366.
  - Fixed bug found in using constant values in the right-hand side of repetitive concatenation
    operators.  Fixes bug 832730.
  - Fixed bugs in reporting of FSM coverage information in the report command.
  - Fixed bug in FSM variable binding stage that caused incorrect coverage numbers to be reported
    for FSM coverage.
  - Fixed bug in handling variables that are too long (more than the allowed 1024 bits).  Removes
    memory corruption problems when this occurs.  Displays warning to user that it has found
    a variable that it cannot handle and gracefully disregards any logic that uses these variables.
  - Updated user documentation to include new chapter on inline attributes that Covered can
    now handle.
  - Updated development documentation for new functions added in this release.

    * 10/19/2003

Release covered-20031019 made.  Lots of modifications to existing structures and supporting
code to increase scoring speed.  In my testbenches, I am seeing about a 3-4x improvement
in speed.  Additionally, code enhancement for allowing bit selects and signal concatenations
in command-line FSM variable descriptions are now allowed.  User documentation has been
updated for these changes.  Some bug fixes are also included in this release.  The
following list shows the changes from last development release.

  - Added ability to parse more complex state "variables".  This includes the ability
    to specify single and multi-bit signals and the ability to concatenate more than
    one signal (or signal bit select) to make a state variable.  Please see user
    documentation for more information on this.
  - Minor tweaks to report format for displaying filenames (only basename of filename
    is output instead of the entire path).
  - Fixing bug in VCD parser to allow bit select parsing of a variable when the
    variable name and bit-select information are not separated by spaces (this is something
    that newer versions of Icarus Verilog now does in its VCD files).
  - Changed structure for vectors from ints to chars.  Each vector element stores information
    for one 4-state bit value and its coverage information (instead of storing 4 4-state
    variables and coverage information).  This reduces memory needed and increases calculation
    speed on vectors.
  - Fixing bug in signal_from_string function.
  - Fixing bug in arc.c related to non-zero LSBs of signals.
  - Added new parameter to info line in CDD file that specifies the format of the CDD file.
    This is used by Covered to keep CDD files with different formats from being merged, read,
    etc.
  - Removed LSB information from vector and storing this information in the signal
    structure.  Reduces memory required, enhances speed, and fixes existing bugs with bit
    selects.
  - Added more diagnostics to regression suite to test new functionality.
  - Updated user documentation for new changes.

    * 09/25/2003

Release covered-20030925 made.  This release contains the first working FSM code
coverage portion in Covered.  There is a lot more to work on in the FSM code coverage
area in the way of automatic FSM extraction and state transition specification, but
this version is able to extract FSM coverage information for an FSM that is located
by the user.  Please see user's manual for this release for more details on specifying
FSM location.  Summary and verbose reporting are available for FSM coverage at this
point.  Additionally, the data format for FSM coverage information in the CDD file
has been finalized.  FSM coverage merging is also supported in this release.  User and
development documentation has been updated.  Please give this development version a
go to get any bugs out of the FSM code coverage engine.

In addition to the FSM coverage support, a bug was fixed in the vector_to_int()
function when converting a vector whose LSB is a non-zero value.

Here is what is on the horizon for FSM coverage that you should expect to see in the
coming development releases.

  - Ability for user to specify the location of an FSM using $attribute function.
  - Ability for user to specify all possible state transitions for a given FSM on the
    command-line and using inline $attribute functions.
  - Automatic FSM extraction including locating an FSM and extracting all possible
    state transitions.

    * 08/20/2003  - Stable Release 0.2.1

Some bugs were found in the covered-0.2 release that needed to be fixed to consider
Covered to be completely stable.  This release (covered-0.2.1) contains these fixes
which are outlined below.  Please get a hold of this stable release if you have already
downloaded covered-0.2.

  - Fixing bug with the initialization of the new symtable structure.  Only 255 of the
    256 children of each node were being initialized correctly.  Fixes a segfault problem with
    the symtable_dealloc routine.
  - Fixed memory leak problem with file list in parser.  This was a long outstanding problem
    that has now been understood and fixed.
  - Added fclose() after the VCD parsing was complete.
  - Fixed a memory problem with the symtable structure that caused other data structure
    values to be corrupted.
  - Fixed assertion error problem with VCD symbol aliasing.

    * 08/16/2003

Stable release covered-0.2 finally made!  This release will be the springboard
for adding FSM coverage code, code optimizations as well as a few new features
that should make the score command run much faster.  Some important bug fixes
were made in this release and code optimizations have been added to the score
command.  If you are getting coverage for a larger design, you should definitely
notice the speed increase.  In one of my designs, the speedup was a facter of
a bit more than 3x.  The following are the list of changes made for this release.

  - Added -ts option to score command to allow the user to see where in the
    simulation process the score command is currently at.  Please see user
    documentation for more details on this new option.
  - Fixed bug with multiple wait event statements within same always block.
    This means that the CDD files created with the last version of Covered
    will be incompatible with the new CDD files.
  - Fixed bug with posedge, negedge and anyedge expressions when more than
    one of these is found in the same always block.
  - Fixed bug in vector comparison function.  Vectors will now compare to a value
    of true if the values of two vectors (whose bit size is different) are equal
    up to the smallest MSB of the two vectors.  Before, if two vectors were not
    of equal size, a compare would always evaluate to FALSE.
  - Removed unnecessary global variables.
  - Removed generated development documentation from release and opted to
    generate these with a user 'make' in the doc directory (makes release size
    smaller and is unnecessary for most users anyways).
  - Development documentation updated.
  - User documentation and man file updated.

    * 08/06/2003

Release covered-0.2pre3 made.  It has been quite a while since a release has been made
which has been due to a particularly tricky bug that was found with non-blocking
assignments.  This bug generated bad coverage information (this is considered very bad!)
This release contains bug fixes and development documentation updates.  If no more problems
are found with this release, I will get the 0.2 release made very soon.  The following
is a list of the changes for this release.

  - Fixes to line.c and toggle.c to provide better cross-platform support.
  - Lots of updates to the development documentation.
  - Fixed bug with properly handling hierarchical references in expressions.
  - Fixing bug with single-bit parameter handling (caused a diagnostic miscompare
    between Linux and Irix OS's).
  - Fixed non-blocking assignment bug.  This bug affected the order of execution in
    Covered's simulator which resulted in bad coverage information being generated.
  - Fixed bugs in divide, mod, left shift, right shift and some other expression types
    to avoid converting variables that have unknown values to integers (which results
    in Covered errors at run-time).

The list of changes is short, but the changes made are very necessary to getting reliable
coverage numbers from Covered.  Please get a copy of this version and test it out so that
we can get the stable 0.2 release made ASAP.

    * 02/18/2003

Release covered-0.2pre2 made.  Bug fixes and enhancements for allowing more Verilog
code to be parsed without spewing parsing errors.  The list of open bugs is empty at
the moment.  I will be working on enhancing the user documents and development
documents in preparation for the stable release.  The stable release will be made
next unless there are new bugs found for which the bug fixes convince me that additional
testing is necessary.  The following is a list of the changes for this release.

  - Fixed bug with copying instance trees for instances of modules that were previously
    parsed and built into the main instance tree.
  - Fixing bug in file finder so that only missing modules are displayed after the
    parsing phase is completed.
  - Updated output of filenames as they are parsed to give more consistent look.
  - Fixing bug with leftover tmp* file when missing module error is reported.
  - Adding parsing support for pullup, pulldown and gate types though these are not
    supported for coverage at the current time (probably will be supported after stable
    release).
  - Adding parsing support for real numbers in statement delays.
  - Fixing case where statement is found to be unsupported in middle of statement tree.
    The entire statement tree is removed from consideration for simulation.
  - Added preliminary support for parsing attributes though the parsing support is not
    complete at this time.
  - Fixing bug with line ordering where case statement lines were not being output
    to reports.
  - Fixing bug with statement deallocation for NULL statements within statement trees.
  - Updates to parser for new bison version 1.875
  - Added support for named blocks
  - Fixing bug with handling of preprocessor directives with leading whitespace.
  - Fixes/optimizations to db_add_statement function which avoids stack overflow errors.
  - Added check in regard to -i option to score command.  Bad -i values would cause no
    coverage information to be generated but would not tell user explicitly.  Error
    message now provided with -i option is not specified but is needed and/or -i option
    is incorrect.
  - Lots of parser updates to be able to parse UDPs, escaped identifiers, specify blocks,
    and some other various Verilog code that was causing parse errors or assertion errors.
  - Fixed proper handling of the event type.
  - Fixed bug with merging constant/parameter vector values which caused assertion error
    in report command when reporting on a merged file.
  - Fixed user error message for merge command when CDD files are unable to be read.
  - Added new type to CDD for general CDD file information.  This allows CDD files from
    different testbenches with the same DUT instantiated to be properly merged.
  - Fixed problem with generating report from CDD file that has not been scored.  Covered
    detects that the CDD file has not been scored and outputs an error message to the user
    not allowing them to generate these reports.
  - Added support for reading bit selects from VCD files (this information was previously
    ignored).  This was necessary as other simulators bit blast module ports in VCD files.
  - Updated look of instance reports to display full hierarchy of an instance instead of
    the instance name and the instance name of the parent module.  Much easier to locate
    the instance in the design now.
  - Fixed bug with using -D/-Q option with merge command.
  - Added merge regression testing capability to regression suite.
  - Updated build environment for RedHat 8.0 requirements.
  - Updates to regression suite
  - Development documentation updates.

The way that Covered looks and feels for 0.2 stable release is set in stone now.  Please
make sure that you test this version as much as possible to get any leftover bugs out of
the code.  I only plan on updating documentation, adding code comments, and fixing bugs.
If any bugs are sent in, a 0.2pre3 release will be created, otherwise, I will make
the 0.2 stable release available.

I've got some exciting things in Covered's future in plan after 0.2 stable release,
including FSM support, new text report look, code optimizations, support to be fully
Verilog-2001 compliant, a parallel scoring algorithm, and a new GTK+ interface.
Happy testing!

    * 01/05/2003

Happy New Year!  Release covered-0.2pre1_20030105 made.  This release is primarily a
bug fix release; however, many of the bugs required larger changes than would be
expected before a stable release.  The most significant change being to the lexer which
is now split into a preprocessor and a normal lexer (before these two functions were
combined into one).  As such, this is the first prelease with a second release most
likely expected before stable release.  The following is a list of changes included in
this release.

  - Preprocessor split out from lexer to allow proper handling of defined values within
    code.
  - Added -p option to score command to allow user override of preprocessor intermediate
    output file.
  - Fixed bug where report output was not squelched when -Q global option specified on
    report command line.
  - Modified regression suite to verify CDD file generation (was being performed before),
    module report generation (new) and instance report generation (new) to make sure that
    report output was consistent.
  - Fixed bug where integer, time, real, realtime and memory data types used in expressions
    where considered to be implicitly defined and given 1-bit values.  When these types
    are seen in expressions now, they are ignored by Covered (caused nasty segfault).
  - Fixed bug when a parameterized module is instantiated more than once in a design
    (sent error message to user when this occurred).
  - Fixed bug where a parsed module that was required but not at the head of the module
    list was not being found by the parser.
  - Added internal assertions and code to verify that we never try to overrun arrays
    in the VCD parsing/running stage (caused nasty segmentation fault).
  - Reorganized code for symtable symbol lookup and value assignment.
  - Fixing bug where a parameterized module that was instantiated in a design more than
    once was not getting the correct parameter value(s).
  - Fixed module search algorithm to reparse a Verilog file that contains a module
    that was previously ignored (not needed at the time) but is later found to be
    needed.
  - Created tree.c and tree.h to handle new module search algorithm and to replace
    preprocessor define tree structure.
  - Updated development documentation.
  - Updates to user manual and manpage for new -p option, notes from this release
    and a new section that starts to describe what logic is analyzed by Covered and
    which code is not analyzed.

I've got some fairly large designs being run with this version of Covered and the regression
suite has grown to over 130 diagnostics with more on the way.  Keep the bug reports coming!
2004-03-12 22:35:31 +00:00
dmcmahill
5ae1840525 bl3ify 2004-03-12 02:51:53 +00:00
minskim
1ee67c6478 Switch to Tk 8.4. 2004-03-12 00:02:38 +00:00
minskim
2f89c70cee Switch to Tcl/Tk 8.4 and bl3ify. Bump PKGREVISION. 2004-03-11 06:54:50 +00:00
minskim
2827f5b2d8 Enable tk84 and replace x11/tk with x11/tk83. Packages compatible
with 8.4 will be updated to depend on x11/tk after Tk update.
2004-03-08 20:27:13 +00:00
minskim
ac690cf634 Replace lang/tcl with lang/tcl83. Packages compatible with 8.4 will
be updated to depend on lang/tcl after Tcl/Tk update.
2004-03-08 19:52:50 +00:00
jmmv
ae5a97419f Handle some shared directories by depending on (or updating dependancies to
the latest versions) xdg-dirs, xdg-x11-dirs or gnome*-dirs.
Bump PKGREVISION.
2004-03-08 19:40:36 +00:00
jlam
9ff0e10340 Reorder location and setting of BUILDLINK_PACKAGES to match template
buildlink3.mk file in revision 1.101 of bsd.buildlink3.mk.
2004-03-05 19:25:06 +00:00
dmcmahill
c050018ba7 update to 20040111.
Release notes for the gEDA/gaf 20040111 snapshot

   Changes
     _________________________________________________________________________________________________________

   General / Summary

     * Lots  of  changes  in  most  areas.  This release contains the largest amount of changes in quite a while.
       Expect bugs, crashes, and general instability. If you tell me about them, I might be able to fix them.
     * Probably the biggest set of changes were the addition of multi-line text support. The bulk of the work was
       done  by  Carlos.  The  text add dialog box and the multi attribute add/change dialog box both support the
       adding  and  editing  of  multi  line  text  items,  however, I am going to change these dialog boxes in a
       subsequent  release  to be a little like the old behavior, but still support multi-line text support. Full
       multi-line text support requires that you use gtk+ 2.2.x.
     * Also  part  of  the  above  changes  was to get rid of some fixed sized buffers within libgeda and gschem.
       Hopefully  I  have  hashed  out  all  the  bugs  in these changes, but I wouldn't be surprised if I missed
       something. Please report all crashes. Thanks.
     * Changed  the  file  format  a little. Changed the way sch/sym files are versioned and added a field to the
       text  item  to  support  the  multi-line  text  feature addition. I recommend everybody run gsymupdate and
       gschupdate  (and  verify the resulting files!) to get rid of the log warning message. gEDA/gaf will always
       write the new file format for all saved schematic/symbols.
     * The  v  flag  (the  version  of  the  sym/sch file), in the past, was keyed off of a version date, such as
       20030901.  Starting  with 20040111, I am no longer using this date as the version of the sch/sym file, but
       rather I added a new field (number) which is the file format version. The new version line looks like:
        v 20040111 1
       This  is  nice that I don't have to update all the symbols, schematics, etc... to get rid of a log warning
       message. The current version of the file format is 1. All past files are versioned as 0. As an experiment,
       I  left  all the symbol files at v 20031231 1 and nobody should care/notice. I am planning more changes to
       the file format in subsequent releases and this version field will make changing it easier.
     * The  text object has an additional field which is the number of lines (N) the text item contains. The text
       item is then followed by N number of lines of text. Example:
        T 48400 59900 9 10 1 0 0 0 3
            Line1
            Line2
            Line3
     * The component libraries:
        vhdl, verilog, new asic, and the new gnetman
       are  commented  OUT  in  the  system-commonrc  file.  I did this because there are significant symbol name
       clashes  between  these libraries. If you want to use one of these libraries, please place the appropriate
       (component-library  "...")  string  in  some  rc  file.  This  commenting out is temporary, till I fix the
       component name clashing bug (jitterbug#9).
     * The  component-library-search  keyword  in  the  system-commonrc file was commented out. If a directory is
       specified  for  the component-library-search keyword, then libgeda/gschem/gnetlist will automatically find
       any  directories  in  the  specified  directory  and add them to the component search path. By having this
       keyword in place, this would have undone the above commenting out.
       If  gschem/gnetlist  cannot  find your custom component libraries after upgrading to 20040111, then either
       comment  in  the component-library-search keyword back into the system-commonrc file or explicitly add the
       custom component libraries to an rc file. The latter action is prefered.
       This info was added on 02/08/2004.
     * Upgraded  all  packages  to use gettext (GNU gettext) 0.12.1. I don't think that anybody who downloads the
       source  tarballs  will  need  this particular version, but you will certainly need it if you build the CVS
       version.
     * Partially  applied  Carlos' UTF-8 patch for gtk+ 2.2.x. I couldn't test the complete patch, so I will keep
       working  on  this.  Moving libgeda to use UTF-8 internally is going to be a bit of work, but the sooner it
       happens, the better.
     * The  gEDA Suite setup program has been improved considerably. It now supports the download of the tarballs
       automatically  using  wget.  All  you need to get from the gEDA website is the toplevel Makefile and type:
       make  xinstall and the setup program will do the rest. See below in the build section for more information
       on using xinstall. Please report any problems with gEDA Suite setup so it can be further improved.
     * Much  more  documentation  that  gets  installed now (almost all of it). I included Bill Wilson's gsch2pcb
       tutorial  and  Russ  Dill's  tragesym  tutorial.  I  also  am  installing  all  READMEs, so please see the
       gedadocs.html  file  for  almost  all  available  documentation  on gEDA/gaf. You can access this file via
       Help/Manual... in gschem (make sure you have a web browser installed).

   libgeda

     * Shared library version number now at 20.
     * In 20030901, libgeda was accidentally being built without optimization. Fixed in this version.
     * Applied  Carlos  Nieves  Onega's  multi-line text patch. See below in the gschem for more info. This patch
       changed and fixed a lot of things in libgeda, so expect some instability.
     * Applied  a  few  more  patches by Carlos to perfect the multi-line text support in libgeda (mainly dealing
       with support for tabs in multi-line text items).
     * Added postscript output for mutli-line text items. This support is really new and not 100% perfect. Expect
       some  problems  here,  mainly  when you start rotating and changing the alignment of many line text items.
       Putting tabs into the text strings is not yet supported.
     * Fixed  a  really  nasty bug in o_attrib_get_name_value() which was caused by g_strsplit() not behaving the
       same  between  glib  1.2.x  and  glib  2.2.x. Be careful when using g_strsplit, especially if you use both
       versions of glib. Bug caught by Stuart.

   gschem

     * Added the --with-stroke command line to specify where ./configure can find the libstroke files.
     * Changed the behavior of the print dialog box to close when the user presses the Print button.
     * Added  the ability to generate PNGs from the command line. Look at gschem/scripts/image.scm for the scheme
       script. Command line looks like: gschem -o test.png -s image.scm filename.sch
     * Added  a  schem  rc  keyword:  image-size which lets you set the size of the PNG image generated using the
       above guile script.
     * Applied  Carlos  Nieves  Onega's  multi-line  text  patch.  This  patch  adds  multi-line  text support to
       gschem/libgeda.  This  patch  made  some very deep changes to internals which haven't changed in years, so
       expect  some  instability.  Multi-line  text is properly supported only when using gtk+ 2.2.x. You will be
       able to load schematics and edit multi-line text (in a limited fashion) if you use gtk+ 1.2.x
     * Part  of  the  above  patch was the removal of a bunch of fixed sized buffers. Hopefully Carlos and I have
       fixed  all  issues  surrounding these changes, but expect some instability here as well as we work out the
       kinks.
     * Applied  a  patch  by  Carlos  to  highlight  the proper alignment value in the pull down menu in the Edit
       Text... dialog box.
     * Fixed the visual alignment of the menu items and hotkeys when using gtk+ 2.2.x.
     * Improved  the  appearance  of a few of the dialog box, by mainly adding whitespace. A few dialog box got a
       few more touch ups, but nothing too drastic.
     * Applied  a  patch  by  Carlos  to  add multi-line attribute editing to the multi attribute dialog box. The
       changes for this are not complete and will change in the next release.
     * Updated the pt_BR.po with Antonio's UTF-8 version.
     * Applied  Stuart's  multi-select  open  patch.  Now  you  can  select multiple schematics to open using the
       File/Open... dialog box. Hold down the shift or control key as you click on filenames.
     * Part  of  Carlos'  multi-line  text  patch  was  to  change  the  quick rendering of text from a line to a
       rectangle. Refined and refactored this code a little to properly display attributes.
     * Fixed  a  long  standing  bug  in the File/Open... and File/Close... mechanisms when dealing with multiple
       windows. Bug identified by Karel Kulhav.
     * Fixed  a  long  standing  bug  in  the preview window (for schematics and components) where there would be
       garbage  data  in  the bottom of the window. The bug only manifested itself under very specific conditions
       and only when using gtk+ 1.2.x. I'm quite surprised nobody else complained about it (or rather recently).
     * Applied a patch by Carlos to support the new Spanish characters.
     * Fixed the invalid scrollbars on startup bug.
     * Updated  the  man  page a little bit. Added code to the build mechanism to generate an html version of the
       man page, which is installed into the documentation directory.
     * Bunch of other improvements and bug fixes.

   gnetlist

     * Upgraded Bill Wilson's gsch2pcb gnetlist backend to the latest (1.4)
     * Applied all of Carlos' DRC2 patches.
     * Applied  all  of  Stuart's  spice-sdb  patches. The included spice-sdb backend should be Stuart's absolute
       latest version. A bunch of improvements to various parts of gnetlist were included in these patches.
     * Applied of Stuart's patch to the partlist backends.
     * Updated  the  man  page a little bit. Added code to the build mechanism to generate an html version of the
       man page, which is installed into the documentation directory.
     * Added  the  scheme  rc  keyword:  unnamed-netname  which  lets you control what the unnamed nets should be
       called.
     * Add  the  necessary  rules  to  the  Makefiles  to  install all the backend READMEs into the documentation
       directory.
     * Applied  a patch by Christopher K Eveland to fix a condition where gschem2pcb was picking up a pin when it
       should  not.  If  somebody  could  test  gschem2pcb on an existing project and make sure it still works as
       expect, it would be greatly appreciated.
     * Added the Racal Redac format backend by W. Kazubski.
     * Added the new RF Cascade and futurenet2 backends by Dan McMahill.
     * Applied  a  bunch  of patches by Dan for doing net aliasing/renaming. These patches touched the C files as
       well as various backends.
     * Applied a few more patches by Dan to fix some m4 quoting issues with the PCB backends.
     * Bunch of other minor bug fixes.

   gsymcheck

     * No significant changes (lots of pending work though).
     * Updated  the  man  page a little bit. Added code to the build mechanism to generate an html version of the
       man page, which is installed into the documentation directory.

   symbols

     * Werner Hoch has fixed a whole slew of symbols in various component libraries. Thanks Werner!
     * Added a whole bunch of contributed symbols from various people. Thanks.
     * Added a bunch of new Spanish characters by Carlos.
     * Removed vhdl, verilog, asic, and gnetman from the system-commonrc file.

   geda (gManager)

     * Piotr  Miarecki  added a bunch of features and fixed a few bugs in gManager. Give it a try and let him how
       gManager works for you. gManager is looking really nice.
     * Integrated Antonio's pt_BR translation. This should work now.
     * Added a strategic sleep to the mainloop of gManager to reduce the CPU load.

   utils

     * Updated Bill Wilson's gsch2pcb to version 1.4
     * Add  the  necessary  rules  to  the  Makefiles  to  install all the backend READMEs into the documentation
       directory.
     * Integrated Stuart's garchive script.

   docs

     * Applied a whole bunch of patches from Dan McMahill to the symbol creation guide. These patches added/fixed
       information related to the footprint= attribute.
     * Started using hyperref.sty for all LaTeX generated documentation, which adds hyperlinks to the pdf files.
     * Added a bunch of converted txt -> tex documents. The conversions were done by Dan McMahill.
     * Added the Dan McMahill's documentation for the RF Cascade gnetlist backend.
     * Rewrote  the  toplevel gedadocs.html index file to link all the new documentation, readmes, man pages, and
       tutorials.  The  gedadocs.html  now  file  contains almost all existing documentation on gEDA/gaf that I'm
       aware of.
     * Added  a  couple  of  tutorials  that  people  have written. Namely Bill Wilson's gsch2pcb and Russ Dill's
       tragesym tutorials. Both of these tutorials are accessible from the gedadocs.html file.
     * Explicitly  stated  in  the  file  formats document that all the file formats associated with gEDA/gaf are
       covered by the GPL version 2.0.
     * Lots of improvements and fixes to various docs.

   examples

     * No significant changes.

   For more a detailed changes, please look in the appropriate ChangeLogs in the source tarballs.
2004-03-05 13:03:47 +00:00
drochner
fa1bf35d64 update to the 20040220 snapshot
changes: bugfixes, VPI extensions
2004-03-02 15:34:07 +00:00
xtraeme
6fe4fe8448 Update cad/eagle to 4.11, from Ossi Herrala in PR pkg/24552.
Changes:

Library Management

    * Packages and Device Sets can now be copied into the currently
      edited library from other libraries, either through Drag&Drop from
      the Control Panel or by using the COPY command's new extended syntax
      (see "Help Copy").
    * New package variants can now be created by directly using packages
      from other libraries, either through Drag&Drop from the Control Panel
      or by using the PACKAGE command's new extended syntax.
    * The packages of the currently edited library can now be updated with
      those from other libraries, either through Drag&Drop from the Control
      Panel or by using the UPDATE command's new extended syntax.

And more! please review this link to see a full list of changes:
http://www.cadsoft.de/version41.htm
2004-02-25 01:09:25 +00:00
dmcmahill
facfca11ac add missing bl3 file. Thanks to Krister for noticing. 2004-02-23 23:51:52 +00:00
dmcmahill
3dbd0a408b bl3ify 2004-02-23 13:47:26 +00:00
dmcmahill
0305abe673 bl3ify and use the geda/Makefile.common for some common variables 2004-02-23 04:35:19 +00:00
dmcmahill
ae01c9be29 bl3ify and use the geda/Makefile.common for some common variables 2004-02-23 04:20:50 +00:00
dmcmahill
0c25cdeab2 use the geda/Makefile.common for some common variables 2004-02-23 04:01:58 +00:00
dmcmahill
6c4bc505eb bl3ify and use the geda/Makefile.common for some common variables 2004-02-23 04:01:08 +00:00
dmcmahill
8750601d28 bl3ify and use the geda/Makefile.common for some common variables 2004-02-23 02:59:44 +00:00
dmcmahill
a09b05bb22 use the geda/Makefile.common for some common variables 2004-02-22 20:38:49 +00:00
dmcmahill
ded9a54793 move a couple of variables to a Makefile.common which are shared by the geda pkgs 2004-02-22 20:37:45 +00:00
dmcmahill
74868a8037 bl3ify 2004-02-22 20:01:28 +00:00
snj
9c189022bb s/utilites/utilities/ 2004-02-21 06:35:10 +00:00
dmcmahill
cda8909b57 correct depends version 2004-02-20 21:51:25 +00:00
dmcmahill
5ed0260ea6 bl3ify 2004-02-17 12:51:03 +00:00
dmcmahill
2f09a21974 enable pkgviews 2004-02-17 02:52:35 +00:00
dmcmahill
d9b3a4c938 bl3ify, enable pkgviews 2004-02-17 02:49:25 +00:00
dmcmahill
80da9ef117 update to 20040215 snapshot.
A partial list of what's new is:

- Internal resolution changed to 0.01 mil from 1.0 mil
- Improved DRC checking
- Mirroring/rotating of buffers
- Undo works on trace optimizations
- Fixed polygon undo bugs
- Fix arc DRC bugs
- Added local measurement for line drawing
- Added mode for polygon viewing to help examing planes
- Added new footprint libraries (amp, amphenol, bourns, johnstech,
  minicircuits, panasonic)
- Added new footprints to the geda library
- Other bug fixes
2004-02-15 23:14:25 +00:00
dmcmahill
e48af1e557 bl3ify 2004-02-15 21:57:14 +00:00
dmcmahill
821ee82767 add pkgviews 2004-02-14 18:42:52 +00:00
dmcmahill
438e62ccd7 acs is deprecated. This package has evolved into gnucap. 2004-02-14 17:21:48 +00:00
jlam
ec993afa1a LIBTOOL_OVERRIDE and SHLIBTOOL_OVERRIDE are now lists of shell globs
relative to ${WRKSRC}.  Remove redundant LIBTOOL_OVERRIDE settings that
are automatically handled by the default setting in bsd.pkg.mk.
2004-02-14 17:21:32 +00:00
dmcmahill
36fc34e730 update to gnucap-0.34. While here bl3ify.
Gnucap 0.34 release notes  (02/01/2004)

This is a bug fix and compatibility release.

1. Fix bug causing incorrect interpolation of backwards tables.

2. Fix tanh overflow bug.

3. Fix some parsing bugs.

4. Fix occasional "double load" bug.

5. Fix AC sweep with one point.

6. Transient start time really works.

7. Fix occasional assert fail after option short is changed.

8. Fix memory leak resulting from failure to delete unused common.

9. Fix a Z probe bug that sometimes gave wrong answers.

10. Fix a limiting bug that sometimes caused non-convergence.

11. Configure handles isnan.

12. Improvements to logic initialization.  It is still not correct.


Some things that are still partially implemented:

1. BSIM models, charge effects, "alpha0" parameter.  (computed then
ignored)

2. Configure still doesn't handle everything.

3. The model compiler still requires too much raw coding.

4. Named nodes.  If you set the option "namednodes", it will support
named nodes, but some things don't work, so it is off by default.

5. The preliminary IBIS code is now included.  For now, it is a
standalone executable, that reads an IBIS file and generates a
netlist.  The netlist requires some editing to use, and is not fully
compatible anyway.  It is included in hopes of recruiting help in
finishing the project.



Bugs (nothing new, but needs repeating):

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. An occasional bogus calculation in MOSFETS occurs when a device is
reversed.  This sometimes causes nonconvergence.

3. Initialization is strange when repeating an analysis without an
intermediate edit.



Hot items for a future release (no promises, but highly probable):

1. Verilog-AMS and VHDL-AMS support.
2004-02-14 17:18:36 +00:00
jlam
3ac2d4b8aa In the new compiler selection framework, GCC_REQD is appended to, not
overridden.
2004-02-01 01:43:28 +00:00
snj
78a0ad0709 s/seperate/separate/ 2004-01-31 23:35:24 +00:00
snj
304b2e7a53 s/succedes/succeeds/; s/seperated/separated/ 2004-01-31 23:34:49 +00:00
snj
9ff136e7a4 s/seperate/separate/ 2004-01-31 23:30:22 +00:00
agc
2d484d5ef2 Make this compile with gcc3 - get rid of multiline string constants. 2004-01-29 11:11:15 +00:00
xtraeme
66db214aec There's no need to use 'USE_X11BASE' in electric package, we'll use
USE_X11 instead, bump PKGREVISION.
2004-01-28 12:33:58 +00:00
xtraeme
1567258714 There's no need to use 'USE_X11BASE' in dinotrace package, we'll use
USE_X11 instead, bump PKGREVISION.
2004-01-28 12:21:54 +00:00
kristerw
c92972aff3 Use GCC_REQD instead of USE_PKGSRC_GCC when overriding the compiler
for arm, so that we don't need to install the gcc package(s) on
machines that already have a working compiler in their /usr/bin.
2004-01-25 17:20:34 +00:00
kristerw
d381fe5a28 Use GCC_REQD instead of USE_PKGSRC_GCC when overriding the compiler
for arm, so that we don't need to install the gcc package(s) on
machines that already have a working compiler in their /usr/bin.
2004-01-25 17:03:27 +00:00
grant
fd49d1a855 replace BUILD_DEPENDS m4 and M4 definition with USE_GNU_TOOLS+=m4. 2004-01-25 03:08:46 +00:00
jmmv
a58dd81611 Bump PKGREVISION due to xmlcatmgr update to 2.0beta1. I know; this is a PITA.
This shouldn't be needed if we had non-recursive dependancies *sigh*.
2004-01-23 21:50:42 +00:00
agc
f475db42cd Use stdarg rather than varargs.
This code is old - it's been ages since I've seen definitions for
sunview and X10...
2004-01-23 11:43:33 +00:00
grant
ed16993a08 replace deprecated USE_GMAKE with USE_GNU_TOOLS+=make. 2004-01-22 07:14:59 +00:00
agc
dc52048e01 Move WRKSRC definition away from the first paragraph in a Makefile. 2004-01-20 12:07:06 +00:00
wiz
b29db7a671 Fix dependency lines. 2004-01-15 08:07:07 +00:00
seb
ca263c8164 Remove info files from PLIST files. 2004-01-12 11:22:12 +00:00
seb
4959d3b300 Fix build on NetBSD current. 2004-01-12 11:19:58 +00:00
recht
7eead98c7b rev. bump because of the wxGTK buildlink change 2004-01-10 14:25:42 +00:00
jmmv
8a4db02163 Bump PKGREVISION due to wxGTK update (use gtk2). 2004-01-07 21:46:05 +00:00
reed
6d9af8d68d Bump package revisions for tiff update.
Tiff is backward compatible, but was broken on amd64 platform
so this makes sure new tiff is used.
2004-01-03 18:49:33 +00:00
reinoud
acaf474f80 Make qcad 1.5.4 compile again under NetBSD/alpha 2004-01-02 18:11:06 +00:00
jmmv
641390fd08 Fix build with gcc3. 2004-01-02 14:01:28 +00:00
jmmv
1b17462a5b Require any version of gperf greater than 2.7.2. 2004-01-02 14:00:21 +00:00
cjep
0a88f2ddc6 Whitespace fix 2003-12-30 22:55:04 +00:00
cjep
ae7fd52e7b Add trailing / on HOMEPAGEs 2003-12-30 17:21:54 +00:00
jmmv
2b07fc26d8 s/@netbsd.org/@NetBSD.org/ in MAINTAINER. 2003-12-24 09:53:47 +00:00
sketch
0aa33a6747 Rename restrict() to badratio(), as it's a C++ keyword. 2003-12-17 16:58:25 +00:00
wiz
391b5798e6 Bump PKGREVISION for atk library major bump. 2003-12-13 00:45:21 +00:00
dmcmahill
2288245471 Update to covered-0.2.2.
From the NEWS file:

This release is basically a 0.2.1 release with the available bug fixes
patches applied to it.  This should make getting a stable release less
tedious.
2003-12-09 01:20:36 +00:00
xtraeme
f794de2624 Bump PKGREVISION (libxml2, libxslt and imlib2 were updated). 2003-12-04 19:48:24 +00:00
kristerw
f6d8743f8c Change MAINTAINER to "tech-pkg" instead of the previous "packages". 2003-12-03 22:14:45 +00:00
salo
f35979c642 PKGREVISION++ due to devel/popt update. 2003-11-12 01:31:47 +00:00
dmcmahill
78972860f4 create a 'pkgsrc' type system in the gnucap build system and call that
rather than using LOWER_OPSYS.  This is because the couple of os's
that had correct targets were the same and others that pkgsrc works on
aren't listed at all (like solaris).  Fixes build problems noted on
solaris.
2003-11-08 00:54:22 +00:00
dmcmahill
ddeeeb7f29 - gcc3-ify (multi-line strings)
- be explicit about the location of graph (from plotutils) to help systems
  which have a graph program that doesn't work here.
2003-11-05 22:31:43 +00:00
dmcmahill
7c7285ee79 Force the rebuild of a lex generated .c file. The one in the distfile
doesn't always compile.  Fixes build problems on Solaris.
2003-11-04 20:46:57 +00:00
drochner
9d9e693298 correct HOMEPAGE 2003-10-31 12:28:12 +00:00
dmcmahill
2dc3b7457c Fix LIBTOOL_OVERRIDE to get the right thing. Fixes PLIST problems on Solaris. 2003-10-31 02:13:59 +00:00
dmcmahill
70e7f45316 This pkg needs GNU sed for configure to work. Fixes build problem on
Solaris.
2003-10-29 23:14:22 +00:00
dmcmahill
d153628a45 update to gerbv-0.15
-- Release 0.15 --

2003-10-06  Stefan Petersen  <spe@stacken.kth.se>

        * src/draw_amacro.c: Drawing of aperture macro primitive 7 was
          severly broken. Revealed by Drew Moore who sent an example
          along. Thanks Drew! Closes bug #818307.

2003-09-28  Stefan Petersen  <spe@stacken.kth.se>

        * src/gerber.c: Patch from Peter Brueckner. Some Gerbers don't
          have G04 but just G4. Peters patch fixes that for G- and M-codes.

2003-09-08  Stefan Petersen  <spe@stacken.kth.se>

        * src/gerber.c: Patch from Simon Munton to fix a problem with polygons
          sometimes not being filled properly. Closes bug #800928 (which
          he promptly had submitted).

        * src/gerber.c: Another patch from Simon. This time it fixes
          circular polygon outlines by with a number of short segments.
          Closes bug #603183, which was almost a year old. Thanks Simon!
2003-10-26 04:56:58 +00:00
jlam
a9f08159c4 Back out last change related to moving ncurses/buildlink2.mk to
curses.buildlink2.mk.  This was wrong because we _really_ do want to
express that we want _n_curses when we include the buildlink2.mk file.

We should have a better way to say that the NetBSD curses doesn't
quite work well enough.  In fact, it's far better to depend on ncurses
by default, and exceptionally note when it's okay to use NetBSD curses
for specific packages.  We will look into this again in the future.
2003-09-28 09:13:55 +00:00
grant
7a71199b50 move ncurses/buildlink2.mk to mk/curses.buildlink2.mk, as it provides
support for base system curses/ncurses as well as ncurses itself.

suggested by wiz.
2003-09-27 17:07:34 +00:00
grant
40614d0b3c get rid of USE_GCC2/3 in pkg Makefiles, and set GCC_REQD or
USE_PKGSRC_GCC as appropriate, as this is handled by compiler.mk now.
2003-09-17 19:39:58 +00:00
recht
e914ab3288 hange extension.mk to also install optimized files for distutils packages.
Inspired by FreeBSD "ports".

Fix the PLISTs accordingly.
Also, while at it, remove now obsolete compileall.py calls in post-install
targets and insure that extension.mk is in included before builinlinks of
other Python modules.

Discussed with/ok'ed by drochner@.
2003-09-14 16:43:45 +00:00
dmcmahill
95e3732674 Update to gwave-20030804.
This update is a collection of little improvements and a significant
verhaul of hardcopy plot and export.

Hardcopy and documentation output can now be obtained using either graph
or Gnuplot.  A dialog box allows choosing output formas and a few other
settings.  Additional plot/export filters can be plugged in by writing a
suitable scheme module.

There's a data-export function for extracting and saving a subset of a
datafile as ascii.

The stacking/drawing order of waveforms can be changed.

Selected waveforms are now drawn in a highlighted style.
2003-09-12 20:47:11 +00:00
grant
ac2754dcb7 kill all references to gcc.buildlink2.mk and compiler.mk, and define
USE_GCC2 or USE_GCC3 where appropriate.

the functionality of the old gcc.buildlink2.mk has been rolled into
compiler.mk now, which is automatically used.

more changes to come later...
2003-09-12 20:07:06 +00:00
dmcmahill
0a88b0780c add and enable geda-examples 2003-09-10 23:44:12 +00:00
dmcmahill
94925107c3 Update to the gEDA/gaf 20030901 development snapshot
Release notes for the gEDA/gaf 20030901 development snapshot
------------------------------------------------------------
CHANGES:

   General / Summary

        * The biggest change in this release is the addition of gtk+ 2.2.x
          support.  Now you can build gEDA/gaf with either gtk+ 1.2.x or
          gtk+ 2.2.x.  Some programs like setup and geda still require
          gtk+ 1.2.x, but that will be fixed in a future release.
          The original gtk+ 2.2.x patch was by Ye Ma (Thanks!).

        * Added --with-gtk12 commandline flag to all ./configure scripts
          to force the use of gtk+ 1.2.x.  Just add this to the opt=
          variable in the toplevel Makefile to force all of gEDA/gaf to
          use gtk+ 1.2.x instead of gtk+ 2.2.x.  The default used gtk+
          library if both 1.2.x and 2.2.x are installed is gtk+ 2.2.x.

        * In order support above, pkg-config is now REQUIRED to successfully
          ./configure the packages.  pkg-config is also required if you
          are building/linking against gtk+ 1.2.x.   You can get pkg-config
          from either:
                [1]http://www.geda.seul.org/dist
                or [2]http://www.freedesktop.org/software/pkgconfig/

        * If you do not keep all of your packages installed in one
          place or if it is not in a standard location (like
          /usr/lib/pkgconfig), then you must tell pkg-config where
          to look by using the PKG_CONFIG_PATH environment variable.
          I keep all of my *.pc files in /usr/local/lib/pkgconfig,
          so my PKG_CONFIG_PATH is set like this:

          export PKG_CONFIG_PATH=/usr/local/lib/pkgconfig

        * Rewrote and simplified all the ./configure.ac files.  Here are
          the versions of the auto* tools I use:

                autoconf (GNU Autoconf) 2.54
                automake (GNU automake) 1.7.1
                ltmain.sh (GNU libtool) 1.4.2
                aclocal (GNU automake) 1.7.1
                gettext (GNU gettext) 0.11.5

          If you use any other versions, good luck. :-)

   libgeda

        * Shared library version number now at 19.

        * Removed libgeda-config, replaced with libgeda.pc (pkg-config file).
          In order for gschem and the other libgeda programs to find this
          file during the ./configure stage, you must set the PKG_CONFIG_PATH
          environment variable to something like this:

          export PKG_CONFIG_PATH=$HOME/geda/lib/pkgconfig:$PKG_CONFIG_PATH

          The settings for this variable is printed when you type 'make'
          in the upper most directory (toplevel) where you untarred all
          the source tarballs.

        * libgdgeda 2.0.15 is now required to build libgeda.

        * No significant code changes.

   gschem

        * Applied Carlos Nieves Onega's multi attribute patch.  With this
          patch, the multi attribute add/edit dialog box behaves a lot
          more intelligently when adding or changing attributes.

        * Bunch of work to support both gtk+ 1.2.x and 2.2.x.

        * Rewrote the exit dialog box to look better under both 1.2.x
          and 2.2.x.  Quite a few of the dialog box look bad under
          1.2.x, but look absolutely dreadful under 2.2.x, so they
          will be recreated (and hopefully improved in the process)
          in future releases.

   gnetlist

        * Applied Carlos Nieves Onega's commandline (-l filename) load
          scheme patch.  The scheme code is loaded and executed from the
          specified file before the backend is loaded.

        * Added the -m commandline flag which allows scheme code to be
          loaded from a file after the backend is loaded but before it is
          executed.

        * Integrated Carlos Nieves Onega's DRC2 backend.

        * Applied Carlos Nieves Onega's warning cleanup patch.

        * The required work to get gnetlist to work with both gtk+ 1.2.x and
          2.2.x.

        * Added Bill Wilson's gnet-gsch2pcb backend.

        * Applied Stuart Brorson's latest changes to the spice-sdb
          netlister.  His changes included the -s command line flag
          (sort output netlist) and the gnetlist:get-calling-flags as
          well as improvements to the spice-sdb backend itself.

   gsymcheck

        * No significant changes other than the gtk+ 2.2.x support changes.

   symbols

        * Werner Hoch updated a bunch of symbols in various directories
          including memory and 74.

        * Removed gesym-config.  The new ./configure.ac files do not use
          this file anymore.

        * Added a bunch of contributed symbols (not all though, some are
          still pending for various reasons).

        * The author= and email= attribute on five symbols have been
          merged into one (author=).

   geda (gManager)

        * No changes

   setup

        * No changes

   utils

        * Finally integrated Werner Hoch's tragesym 0.0.5 into the
          utils package.  tragesym is a symbol creator for gEDA/gaf written
          in python.

        * Applied patch by Holger Dietze to update gmk_sym to be current.

        * Integrated Bill Wilson's gsch2pcb package.
          gsch2pcb is conceptually based on the gschem2pcb shell script
          distributed with gnetlist, but additionally handles multiple
          schematics, handles element file footprints, and removes pc
          board elements corresponding to components deleted from the
          schematics.  It also forward annotates component value changes

        * The necessary changes to support gtk+ 2.2.x.

        * Integrated pads backannotation script by Dan McMahill.
          A perl program which implements backannotation from Pads
          PowerPCB board layouts to gschem schematics.

   docs

        * Documented the merge of author= and email= (suggestion by
          Werner Hoch)

        * Updated spice-sdb document with a the latest version from
          Stuart Brorson.

        * Added Dan McMahill's documentation for the pads_backannotate
          script.

   examples

        * No changes.


   For more a detailed ChangeLog please look in the appropriate source
   directories.
2003-09-10 23:43:32 +00:00
dmcmahill
3f485a4e7a import geda-examples, a set of examples of the use of the geda suite of tools. 2003-09-10 23:38:27 +00:00
seb
47765761ec Fix REPLACE_PERL: pathnames listed should be relative to ${WRKSRC}. 2003-09-01 23:34:57 +00:00
jdolecek
36722ad11d this package builds/works on with Python 2.3, so list it as
acceptable version
from PR pkg/22626 by Michal Pasternak
2003-08-31 20:27:33 +00:00
jtb
c338224e93 Update to version 3.1.19.
From the CHANGES file:

254  v3.1 rev 19	8/12/03
     Required reconfiguring without Tcl once to generate the
     "Makefile.in" in the Xw directory;  otherwise, automake 1.7
     becomes a requirement.

253  v3.1 rev 18	8/6/03
     A fix to the output, correcting the page numbering in the
     PostScript page headers.  The incorrect numbering can cause
     some printers to lock up. . .

252  v3.1 rev 17	7/14/03
     Thanks to Ted Roth for fixing my rather too-hasty fix of
     revision 16.  Also:  Some fixes to the autoconf script (also
     from Ted Roth), and autoconf script updated to work with the
     latest versions of autoconf/automake/aclocal.
2003-08-31 02:02:30 +00:00
reed
e2336bb021 "It's" stands for "it is" (or "it has"). The apostrophe is not used
for a possessive (like her, his, whose, their, and its).

Note that I didn't check for proper use of "its" (when it should
be "it is" or "it has" instead).

I also saw over 15 other grammar or punctuation problems, but not
fixed in this commit.
2003-08-30 02:14:19 +00:00
jmc
2e5251616b Regen with file as sourceforge shows it. 2003-08-28 03:47:09 +00:00
drochner
64e12ac882 update to the 20030815 shapshot
changes are basically bugfixes, and improvements in the FPGA synthesis
area
2003-08-25 11:21:50 +00:00
dmcmahill
5311841aa5 add and enable covered 2003-08-24 18:38:42 +00:00
dmcmahill
9c45065ef2 import covered-0.2.1
Covered is a Verilog code coverage analysis tool that can be useful
for determining how well a diagnostic test suite is covering the
design under test. Typically in the design verification work flow, a
design verification engineer will develop a self-checking test suite
to verify design elements/functions specified by a design's
specification document. When the test suite contains all of the tests
required by the design specification, the test writer may be asking
him/herself, "How much logic in the design is actually being
exercised?", "Does my test suite cover all of the logic under test?",
and "Am I done writing tests for the logic?".  When the design
verification gets to this point, it is often useful to get some
metrics for determining logic coverage. This is where a code coverage
utility, such as Covered, is very useful.

Please note that this package is for a stable release version.
There is a seperate package (covered-current) which is made of
development snapshots.
2003-08-24 18:38:06 +00:00
dmcmahill
8c8769471c update to gerbv-0.14.
Changes since 0.13:

* Removal of the last inclusions of malloc.h after tips
  from Charles Lepple.
* Some patch that fixed a problem for Larry Doolittle
* Error messages when running RS274D instead of
  "crash-and-burn" (ie segfault).
2003-08-19 00:06:44 +00:00
dmcmahill
82cd633d7f add conflict with pcb-current 2003-08-17 04:00:51 +00:00
dmcmahill
69b4c2cbfd add and enable pcb-current 2003-08-17 04:00:05 +00:00
dmcmahill
2cf1c2c58e import pcb-current-20030815.
Pcb - X11 interactive printed circuit board layout system.

Pcb is a handy tool for	the X Window System to design printed
circuit	boards.  All coordinate units are 1/1000 inch.

Please note that this package is a development snapshot and while it contains
the latest and greatest features, it may be buggy as well.  There is a seperate
pcb package which is made of the stable releases.
2003-08-17 03:59:08 +00:00
seb
0d7159e7bd USE_NEW_TEXINFO is unnecessary now. 2003-08-09 10:27:40 +00:00
seb
f8e35bd858 one INFO_FILES occurrence is enough. 2003-08-08 15:42:15 +00:00
drochner
25e4c51173 bump revision after qt3/kde prefix change 2003-08-05 13:35:26 +00:00
jmc
448e3f390f Make this understand netbsdelf as a configure target so it finds tcl/tk
correctly
2003-08-04 07:17:36 +00:00
martti
ff0278ea30 COMMENT should start with a capital letter. 2003-07-21 16:24:36 +00:00
grant
91f00f1cbc s/netbsd.org/NetBSD.org/ 2003-07-17 21:21:03 +00:00
drochner
89ed965917 Add a patch to work around the non-working partial vcd file reading
(disable it), and another one to use memory a bit more efficiently.
The program is now usable with VCD files larger than 10M.
Update to the latest snapshot to ease discussion with the author;
a notable change in this is improved LXT support.
2003-07-15 12:32:58 +00:00
drochner
7e725a4ba3 update to 9.1k
* Changes in Dinotrace 9.1k 06/06/2003
****    Fix crash with busses that are replicated with different widths.
* Changes in Dinotrace 9.1j 03/22/2003
****    When window is resized, keep same percentage of trace visible.
****    Fix File Reread duplicating signals.  [Uwe Bonnes]
****    Fix File Reread with identically named signals.
****    Fix dropping of 0s on signals > 32 bits. [Jason Mancini]
****    Fix coredump on read and GCC 3.2.  [Jason Mancini]
2003-07-15 09:48:35 +00:00
dmcmahill
f54512120a Update to the 20030525 development snapshot
Release notes for the gEDA/gaf 20030525 development snapshot
------------------------------------------------------------
CHANGES:

   General / Summary

        * This release is mainly a packaging release.  Several
          contributed pieces were integrated into the gEDA/gaf
          release framework including:

                - Piotr Miarecki's geda (gManager)
                - Piotr's gEDA Suite setup.
                - geda-examples which is a tarball of various
                  examples of gEDA/gaf in action.

        * Two new backends were also incorporated (switcap and spice-sdb)

        * Improved the toplevel Makefile a little bit.  Now if you are
          building from a CVS checkout, you can: 1) set the PATH and
          LD_LIBRARY_PATH variables (as usual) and 2) type: make install
          and the Makefile will take care of running auto* as required.

   libgeda

        * Shared library version number still at 18 (unchanged).

        * No changes for libgeda.

   gschem

        * Applied patch by Mario Klebsch for bug #43: "If gschemdoc is
          not install, gschem crashes".

        * Fixed a crash when you press the q key or any other "no-action"
          key.  This was changed behavior when using guile 1.6.3.

        * Added "reset-component-library" and "reset-source-library" rc
          keywords.  Now from either ~/.gEDA/gschemrc (or gnetlistrc)
          or a local gschemrc (or gnetlistrc) file, you can empty out
          all the default libraries and set your own.

        * Fixed the x_multimulti.nw build problem that some people ran into.

        * Updated the Brazilian translation (new file supplied by Antonio).

   gnetlist

        * Applied a patch by Dan McMahill to get the PCBboard backend working
          with guile 1.6.3

        * Integrated Stuart Brorson's advanced spice backend (spice-sdb).
          Please see the howto in the docs directory (docs/spice-sdb/)
          for more info on this backend.  The only changes I made to
          Stuart's original code was to lowercase the name of the backend
          (from spice-SDB to spice-sdb).  Also check out his spice website
          at: [1]http.

        * Integrated Dan McMahill's switchcap backend.  Please see the
          gnetlist/docs/README.switcap for more info.  Also see the
          documentation in the docs package (docs/switcap) for even more
          info.

        * Applied a patch from Dan to the gnetlist.1 manpage.

   gsymcheck

        * No changes for gsymcheck.

   symbols

        * Werner Hoch updated a bunch of symbols in the max symbol library
          along with adding a few new symbols.  Thanks!

        * Integrated a few new symbols for Stuart's spice backend.

        * Integrated a few new symbols for Dan's switcap backend.

        * Fixed 93Cx6-1.sym to include power information (patch by
          Holger Dietze). (Bug#65)

        * Applied Wojciech Kazubski's --with-docdir patch.  Now you can
          specify where the documentation is installed using --with-docdir
          at the ./configure stage.

        * Fixed 74/7408-2.sym and 74/7409-2.sym, these symbols were swapped.
          Pointed out by Mario Klebsch.

        * Integrated a bunch of symbols from various people (thanks)
          who used the website upload mechanism.  Btw, the website symbol
          upload is so much easier for me, so please continue using it.
          I think I have a few symbols I still need to finish integrating.

   geda (gManager)

        * From the README:

                "gEDA Suite Project Manager is a start point for
                 everything what you may want to do. It is used to
                 organize your files and easy running tools. It
                 simplifies your job."

          Even though it is called gManager or Project Manager, the
          built executable is called "geda".  Please play with it and
          let Piotr know of any suggestions or bugs.

        * This is the first release of this program inside the gEDA/gaf
          framework.

        * Integrated this program into the toplevel Makefile (so that it gets
          built when you type make install etc...)

        * If you build using the toplevel Makefile from now on, you must
          have geda in the current directory.

   setup

        * This is Piotr's gEDA Suite Setup program.

        * This is the first release of this program inside of the gEDA/gaf
          framework.   Please send all bug reports to Piotr.

        * Here's how you use it:

           1) Download all the gaf files and the required libraries
              and put them all into one directory.
           2) Download guile-1.4.1.tar.gz and put it also into the
              above directory.
           3) Type: make xinstall
           4) Follow the GUI.

          Setup will build and install all of gEDA/gaf.  Eventually this
          will be extended to build/install the rest of gEDA and gEDA
          related programs.  Please let Piotr know of any suggestions or
          bugs.

          Make sure you have guile-1.4.1.tar.gz in the current directory
          along with all the gaf tarballs.

        * If you build using the toplevel Makefile from now on, you must
          have setup in the current directory.

   utils

        * Applied a patch by Charles Lepple to fix a build problem on OSX.

        * Applied Wojciech Kazubski's --with-docdir patch here as well.

   docs

        * Integrated documentation for Dan's and Stuart's new backends.

        * Applied Wojciech Kazubski's --with-docdir patch here as well.

   examples

        * This is the first release of the example package inside of
          the gEDA/gaf framework.

        * This package includes:

          - Stefan's gTAG : An example of hierarchical schematics using gaf.

          - Terry Porter's lightning_detector: An example of how to use
            gEDA/gaf with PCB.

          - Stuart Brorson's TwoStageAmp and RF_Amp : Examples of how
            to use spice-sdb for single page and hierarchical SPICE
            simulation using gEDA/gaf.

          If anybody else has some projects they would be willing to allow
          me to distribute, please let me know!  I'm always looking for
          examples of gEDA/gaf in real use.

        * The examples are not installed, but the toplevel Makefile expects
          the tarball to be untarred in the current directory.


   For more a detailed ChangeLog please look in the appropriate source
   directories.
2003-07-15 09:23:31 +00:00
drochner
f08db1a64f +gtkwave 2003-07-14 13:22:34 +00:00
drochner
956c397ce1 import gtkwave-2.0.0pre3, a digital waveform viewer which, eg,
complements cad/verilog[-current]
2003-07-14 13:21:18 +00:00
drochner
b5144ee56e update to snapshot "20030705".
There was a couple of snapshots since february; besides bugfixes the
major highligths might be:
-handling of real values at various places
-support for library modules (esp cadence PLI1)
-better FPGA support (esp Virtex II)
-"vvp" interactive mode added

Also converted to buildlink2, and dependencies to libz, libbz2 and
readline added.
2003-07-14 09:51:48 +00:00
wiz
d39d2491b5 Update DEPENDS; bump PKGREVISION. 2003-07-13 17:47:50 +00:00
wiz
43fa0c7cb6 PKGREVISION bump for libiconv update. 2003-07-13 13:50:19 +00:00
dillo
123568f0eb don't define test directly, use do-test 2003-07-12 13:01:01 +00:00
jtb
c269582771 Update to version 3.1.16.
The source for version 3.1 contains the first official release of the
Tcl/Tk-based version of xcircuit.  At this time , everything in the
original program has been implemented in the Tk GUI. The Tcl version
has the greatest amount of command-line control, and can be run
exclusively from the command line (e.g., from a script).  A
command-line argument "-exec" has been added to facilitate running
xcircuit in "batch mode".

Starting with version 3.1 revision 10, XCircuit has been made an
official part of the EDA environment including Magic, IRSIM, Netgen,
and Tclspice.  Revision 10 addresses interoperability among these
applications, including use of XCircuit in conjunction with Magic for
Layout-vs.-Schematic (LVS) verification.  A Magic tutorial on using
XCircuit, Magic, and Netgen together for LVS is in the works. Note
that this EDA environment requires that all applications be compiled
with Tcl/Tk.

From this point, the embedded Python interpreter interface will not be
further  developed,  except  for essential bug fixes and such.
2003-07-10 08:03:57 +00:00
drochner
91e8c04971 -no need for USE_GNU_GETTEXT
-this really doesn't USE_LIBTOOL
2003-07-09 14:46:49 +00:00
drochner
cfb99139f7 #include <locale.h> before using LC_WHATEVER 2003-07-09 14:45:59 +00:00
seb
90491f1770 Convert to USE_NEW_TEXINFO. 2003-06-27 12:09:39 +00:00
seb
5038cd8cf3 Convert to USE_NEW_TEXINFO.
Patch ngspice.info for INFO-DIR-{SECTION,ENTRY} addition.
2003-06-27 10:18:55 +00:00
seb
4159053573 Convert to USE_NEW_TEXINFO. 2003-06-27 09:03:15 +00:00
seb
029ade2631 convert to USE_NEW_TEXINFO. 2003-06-26 23:32:57 +00:00
seb
473e68daf4 Convert to USE_NEW_TEXINFO. 2003-06-26 22:01:14 +00:00
abs
fb6c29f8bf Update for the fact that 'arm' ports have been called NetBSD-*-arm for a while
now and not NetBSD-*-arm32. Changes include one or more of:
    - Change MACHINE_ARCH == arm32 to also match arm
    - Where ONLY_FOR_PLATFORM includes NetBSD-*-arm32, add NetBSD-*-arm
    - Where BROKEN or worked around for arm gcc bugs, set USE_GCC3
The last may shake out a few more broken packages the next bulk build.
2003-06-11 20:50:50 +00:00
drochner
887003bd79 add py-MyHDL 2003-06-05 18:52:00 +00:00
drochner
dfe2fe099e a library which uses Python as a hardware description language, using
the new generator constructs (like pysim, at a first glance)
2003-06-05 18:50:54 +00:00
abs
0de8869fb4 Use mk/gcc.buildlink2.mk rather than gcc/buildlink2.mk so lang/gcc3
is accepted. Suggested by Grant.
2003-06-02 14:05:00 +00:00
jschauma
e366d0c694 Use tech-pkg@ in favor of packages@ as MAINTAINER for orphaned packages.
Should anybody feel like they could be the maintainer for any of thewe packages,
please adjust.
2003-06-02 01:15:31 +00:00
jmmv
6c83e0d862 Move WRKSRC to where it belongs. 2003-05-31 14:26:58 +00:00
jmmv
6fdf05cc93 Depend on (at least) version 1.2 of gnome{,1,2}-dirs to automatically handle
the shared share/mime-info directory.  Bump PKGREVISION.
2003-05-30 16:19:50 +00:00
jmc
a1d616e40a Some fixes so this will build. Needs cmake in a builds depend. Actually delete
the 6 patch files not used anymore
2003-05-22 03:00:57 +00:00
dmcmahill
8265fb6a99 update to boolean-6.4
Changes since last packaged version:

   Version 6.4

   Graphical interface
    1. Filedialog now has filters for Linux also.

   Boolean algorithm (also effects booleanonly)
    1.  Error corrected in correction settings.

   Version 6.3

   Graphical interface
    1. Based on wxWindows 2.3.4
    2. cmake now used to create projects and makefiles

   Boolean algorithm (also effects booleanonly)
    1.  Using the kbool library with the Boolean engine.

   Version 6.21

   Graphical interface
    1. and it did not even save gdsii or key files properly,
       i just wonder why no one did let me know ;-)
2003-05-15 23:02:05 +00:00
jmc
a44b960a84 Need qmake. Include qt3-tools 2003-05-11 07:40:51 +00:00
jmmv
f1446ddf2b Drop trailing whitespace. Ok'ed by wiz. 2003-05-06 17:40:18 +00:00
jmmv
8a555528c0 PKGREVISION goes after PKGNAME. 2003-05-05 19:05:05 +00:00
wiz
e910342799 Use PKGNAME_NOREV for WRKSRC. Solves part of PR 21428. 2003-05-03 14:12:42 +00:00
wiz
600fd2a1b6 Convert to buildlink2, remove unnecessary post-patch target. 2003-05-02 13:07:52 +00:00
wiz
7166660e08 Dependency bumps, needed because of devel/pth's major bump, and related
dependency bumps.
2003-05-02 11:53:34 +00:00
jtb
1d3e673cdb Update to version 1.5.4.
Changes include:

* Updated to use qt3
* New functions
* New language translations
* New fonts added
* Many bug fixes
2003-04-29 21:51:53 +00:00
dmcmahill
117875ee32 update to gnucap-0.33
Gnucap 0.33 release notes  (01/12/2003)

This is a bug fix and compatibility release.

0.32 was not widely distributed due to password problems and a heavy
work load, so the release notes are repeated after the current ones.

New features:

1. Add inductance probes, like capacitor.



Bug fixes:

1. Fix xprobe duplicate default arg bug - shows in g++3.2.

2. Fix bug that sometimes caused a crash when changing a model after
analysis.

3. Fix bug that caused an assert to fail (debug build) after removing
a probe from an element.

4. Fix a dumb typo hack bug ddHAS_READLINE.  Now history and command
line editing really works.  It was working, but somehow the hack
slipped into the release code.


=================================================================
Gnucap 0.32 release notes  (09/30/2002)

New features:

1. Series resistance in the diode.  It took 5 minutes to do,
so it is embarrasing that it wasn't done before.

2. History and command line editing, using Gnu Readline.  Thanks to
Simon Hoffe for sending me the patch.

3. More parameters in the BJT model.  This gives it better
compatibility with commercial simulators.  These parameters are beyond
Spice 3f5.

4. "M" parameter in diode, BJT and MOS devices.  M is the number of
parallel devices.  Some commercial simulators have this.



Changes that may or may not be improvements.

1. The definition of the transient option "UIC" has changed.  It is
now Spice compatible, which means to not attempt to do any solution or
consistency check.  Just apply the values, assuming anything that
isn't specified is 0.  The old behavior was to attempt a solution
while holding the IC values.


Bug fixes:

1. voltage sync bug.  It still doesn't fix the MOS 2 convergence
problem.

2. Fix memory leak in POLY components.

3. Fix bug in Fourier that sometimes causes overrun (crash) and time
sync errors.

4. Modelgen: fix bug in list parsing.

5. Some changes to eliminate warnings when compiling with g++ 3.1.

6. Use Euler differentiation on first step, because trap used a value
that cannot be known then.  Usually, this doesn't make much
difference, but there are a few cases where the error can get
magnified and trigger trapezoidal ringing, leading to a totally bogus
result.  It most cases, you could hide it with small enough steps.
These cases should work with default settings now.

7. Fix bug that sometimes caused incorrect handling of initial
conditions (UIC),

8. Fix bug that caused continuing a transient analysis to give
incorrect results.



Significant internal changes:

1. The inductor uses all of the same support functions as the
capacitor, including "integrate", which is now correctly called
"differentiate".

2. Most of the code is in place for named nodes.  It mostly works and
can be turned on with the option "namednodes".  It is off by default
because it is not complete.  Most likely, it will be finished in the
next release.



Some things that are still partially implemented:

1. BSIM models, charge effects, "alpha0" parameter.  (computed then
ignored)

2. Configure still doesn't handle everything.

3. The model compiler still requires too much raw coding.

4. Named nodes.  If you set the option "namednodes", it will support
named nodes, but some things don't work, so it is off by default.

5. The preliminary IBIS code is now included.  For now, it is a
standalone executable, that reads an IBIS file and generates a
netlist.  The netlist requires some editing to use, and is not fully
compatible anyway.  It is included in hopes of recruiting help in
finishing the project.



Bugs (nothing new, but needs repeating):

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. An occasional bogus calculation in MOSFETS occurs when a device is
reversed.  This sometimes causes nonconvergence.

3. The "modify" command with multiple arguments seems to take only the
first one.  It used to work, but is broken in this release.  I am not
sure when it broke.
2003-04-21 03:06:29 +00:00
dmcmahill
bc23894e41 add and enable dinotrace-mode 2003-04-21 02:09:25 +00:00
dmcmahill
35755e4d5c import dinotrace-mode-9.1i
This is an emacs major mode for linking verilog code with simulation results
and the Dinotrace waveform viewer.
2003-04-21 02:08:59 +00:00
jmmv
0916498c1b Place WRKSRC where it belongs, to make pkglint happy; ok'ed by wiz. 2003-03-29 12:40:00 +00:00
wiz
28a4483bc7 NO_PATCH is deprecated, says pkglint. Remove it. 2003-03-28 21:14:10 +00:00
jschauma
d928b8f223 De-confusify: Let emulators/suse_*/Makefile.* _not_ include bsd.pkg.mk, but rather
make all packages that use linux emulation include bsd.pkg.mk as the
last files just like any normal package.
2003-03-26 04:05:37 +00:00
dmcmahill
3949ab266f update to dinotrace-9.1i
From the NEWS file:
* Changes in Dinotrace 9.1i  03/07/2003
***     Display values with appropriate leading 0s. [Dan McMahill]
***     Fix 0 extension of verilog values.  [Dominik Strasser, Bill Welch]
2003-03-23 20:57:42 +00:00
dmcmahill
d6787e7fb2 add and enable transcalc 2003-03-23 12:26:04 +00:00
dmcmahill
46be416750 import transcalc-0.13
Transcalc is an analysis and synthesis tool for calculating the
electrical and physical properties of different kinds of RF and
microwave transmission lines.

Transcalc was somewhat inspired by the functionality of Agilent
Technologies' commercial program linecalc. Transcalc aspires to be
more functional in the long run and well-documented with appropriate
references to formulas that are used. Transcalc is built using the
GIMP toolkit (GTK) for its GUI interface.

For each type of transmission line, using dialog boxes, you can enter
values for the various parameters, and either calculate its electrical
properties (analyze), or use the given electrical requirements to
sythesize physical parameters of the required transmission line.

Available transmission lines (this list will expand with subsequent
releases):

* microstrip
* rectangular waveguide
* coax
* coupled microstrip
2003-03-23 12:25:03 +00:00
dmcmahill
1afb64fde0 update to gerbv-0.13
* You cannot compile with backend anymore, switch is removed. In last
  release this caused compilation error due to bit rot.
* Drawing of arcs is a constant headache. Mark Whitis found another
  case that I had missed out. Clockwise arcs all of a sudden started
  to be drawn as counter-clockwise.
* Arcs with very small angle differences could either be a complete
  circle or a very small part of an arc. Calculating the angles with
  integers wasn't sufficient, anyhow. They are now doubles all the way.
* Aperture macro primitive 1 was a _filled_ circle.
* Image rotate used to cause warnings that it wasn't implemented. Now
  if the file has an image rotate on zero degrees there is no warning
  (yes I've seen it).
* Dan McMahill discovered that if you tried to swap with an unused layer
  gerbv segfaulted.
* Peter Monta submitted patch for incremental coordinates.
* No traces of Guile left...
2003-03-21 03:43:21 +00:00
dmcmahill
85cdf0fc01 on alpha lower optimization level on a handful of key problem files that
triggered a compiler error.   This package now builds and seems to run
on NetBSD-1.6/alpha
2003-03-15 00:25:42 +00:00
jlam
3ef633718c (1) Publicly export the value of _OPSYS_RPATH_NAME as RPATH_FLAG;
Makefiles simply need to use this value often, for better or for
    worse.

(2) Create a new variable FIX_RPATH that lists variables that should
    be cleansed of -R or -rpath values if ${_USE_RPATH} is "no".  By
    default, FIX_RPATH contains LIBS, X11_LDFLAGS, and LDFLAGS, and
    additional variables may be appended from package Makefiles.
2003-03-14 19:37:30 +00:00
jschauma
8dcb50a820 One more dependency bump (this one not due to Mesa ;-) 2003-03-12 21:03:59 +00:00
dmcmahill
7310874f0c fix bug with generating a PCBboard type netlist. Bug seems to have
been caused by moving to the new guile.
2003-03-09 22:00:54 +00:00
dmcmahill
3414f91a32 update to gEDA-20030223
Quick summary of changes:

- works with guile-1.6.3 now
- Norwegian/Danish/German character fixes
- PNG output now has some of the objects appearing to have the right thickness.
- added Russian translation
- bug fix in postscript output
- non-applicable menu choices are now greyed out
- fixed PADS netlist output bug
- added several components to library
- added multisheet refdes renumber utility
- several other bug fixes.
2003-03-09 06:18:23 +00:00
jschauma
3e559465d0 Use new IMAKE_MAN_PATH variables in PLISTs to make these packages more
portable.  Bump PKGREVISION accordingly.
2003-02-26 03:40:53 +00:00
dmcmahill
6faf75b5c3 add a conflict on the release version of covered (coming soon) 2003-02-22 13:46:50 +00:00
wiz
246e1b5e85 s/${ENV}/${SETENV}/, noted by Kevin P. Neal in connection with PR 19586. 2003-02-09 14:38:51 +00:00
dmcmahill
2e7ab73782 unlimit datasize for build 2003-02-07 10:34:07 +00:00
dmcmahill
0d033ca10b enable optimization (use release settings) 2003-02-06 02:39:16 +00:00
dmcmahill
67cf9fd450 repair botched patch file 2003-02-06 00:50:54 +00:00
dmcmahill
b85568e96d update to ViPEC-3.1.3.
The previous version was extremely out of date and the distfile is no
longer available.

Many, many changes since the last packaged version.  New 'tuner' feature
added.  New models added.  Several bug fixes too numerous to list.
2003-02-06 00:41:12 +00:00
dmcmahill
1b836a1ecb fix a bug when reading certain NC/Drill files. Files with leading +/-
are not properly parsed.  Bump pkgrev.
2003-02-05 19:19:27 +00:00
dmcmahill
1e92cff3ae update to covered-current-20021214 as part of fixing compile problems noted
in recent bulk builds.

Release covered-20021214 made.  This release is a bug fix release.  See list below
for details.  Bugs that lead to infinite looping in the score command and segmentation
faults should now be cleared up.  Please let me know if there are any other bugs that
need to be addressed before first stable release.  Development documentation updated
to match changes in files.  Regression suite has been updated quite a bit from last
time.  There are now over 125 diagnostics in the regression suite (my goal was to
write about 100 before first stable release).

  - Segmentation fault fixes in report command
  - Parser can now handle all net types (not just wire).  Diagnostics added to regression
    suite to verify their proper handling.
  - Parser updated to handle net declaration assignments (e.g., wire a = b & c;).
    Diagnostics added to verify proper handling.
  - Added human-understandable error messages in parser to help identify file and
    line number along with a quasi-helpful error message description.
  - When parser error is found, Covered exits after parsing phase without continuing
    to write CDD file.
  - Fixed bug where a multi-bit select expression existed in a module that was
    instantiated more than once.  Assertion error fired in this case.
  - Updated regression suite for VCS testing.
  - Fixed bug where parameters were used in modules that were instantiated more than
    once.
  - Fixed bug that dealt with parameters (see param6.1.v for test case).
  - Fixed bug where a delay statement was the last statement in a statement block used
    by Covered.  Added diagnostics to verify correct behavior.
  - Fixed infinite loop problem with db_add_statement function.
  - Fixed infinite loop problem with statement_set_stop function.
  - Fixed bug with parsing order.  When an instance is found for a module that has
    already been parsed, the instance was incorrectly being handled.  Bug replicated
    with instance6.v diagnostic.
  - Fixed output of edge-triggered events to add @(...) around the expression (they
    were easily confused with other code that could exist on the same line).
  - Fixed bug in parser to not allow module to be parsed more than once.
  - Fixed bug that lead to an assertion error (see instance6.1.v for test case).
  - Fixing bug with calculating list and concatenation lengths when MBIT_SEL
    expressions were included.
  - Changed Covered's handling of -y directories.  Before, all files in these directories
    were fed into the parser to look for missing modules.  Now, when a module is needed,
    the module name is used to find the matching filename in the -y list (basically,
    the -y option works like the -y option in Icarus Verilog and VCS).  This fix really
    streamlined the parsing phase and fixed several bugs.
  - Memory declarations are now properly ignored (produced segmentation fault previously).
  - Fixed report command to display all lines and expressions in order according to
    their line number (the problem is REALLY fixed now).
  - Removed hierarchical references from being scored.

All in all, you should notice a huge improvement in the parsing speed, syntax errors are
reported better, more Verilog syntax should be handled properly, the score command will
run a bit faster than before, and the reports should be a bit easier to read.  Segmentation
faults and assertion errors should become lesser in number (if not gone altogether?).
I am feeling pretty confident that we are getting close to a stable release as I have
been able to generate a CDD file for a chip that is millions of gates in size (CDD file
was created in the range of 30 - 45 seconds!)  Keep the bug reports coming.  I have some
things to work on for next release already.
2003-02-04 02:42:21 +00:00
dmcmahill
cf0b4098a3 update to verilog-current-20030202.
This is the first packaged (in pkgsrc) snapshot after the verilog-0.7
release.

This snapshot adds preliminary support for real variables to the language
to the features already found in verilog-0.7.
2003-02-04 00:46:07 +00:00
dmcmahill
64f9131b92 Update to atlc-4.2.10
Many improvements such as support for mixed dielectric systems and several
bitmap generators for common structures to allow quick application of the
tool.  Several bug fixes as well.  Voltages outside a shield are set to zero
which fixes a reported result in older versions.  Many other improvemnts
and bug fixes are listed in the ChangeLog in the distfile.
2003-02-01 15:16:24 +00:00
jlam
d7f69e47ce Instead of including bsd.pkg.install.mk directly in a package Makefile,
have it be automatically included by bsd.pkg.mk if USE_PKGINSTALL is set
to "YES".  This enforces the requirement that bsd.pkg.install.mk be
included at the end of a package Makefile.  Idea suggested by Julio M.
Merino Vidal <jmmv at menta.net>.
2003-01-28 22:03:00 +00:00
jmmv
1b555806e7 Remove dependancy on gnome1-dirs as discussed with wiz. This will be handled by gnome-libs. 2003-01-27 19:46:59 +00:00
jmmv
34392ef3c2 Depend on gnome1-dirs to handle shared directories. Bump PKGREVISION. 2003-01-27 12:37:20 +00:00
jlam
9723a73c5d Use buildlink2. 2003-01-25 12:48:40 +00:00
wiz
3d2db81db9 Add INTERACTIVE_STAGE=fetch, since this package has a _FETCH_MESSAGE. 2003-01-24 15:38:42 +00:00
agc
e815b449e4 Correct the directory path for GNU m4 package. 2003-01-24 09:46:27 +00:00
wiz
953b8af93c Needs GNU m4. Fixes bulk build problem. 2003-01-13 12:08:47 +00:00
wiz
62f6c2b0d5 emacs.mk already includes bsd.prefs.mk, no need to include it manually a
second time.
2003-01-10 14:26:37 +00:00
cjep
f2bc62817c USE_PKGLOCALEDIR 2003-01-10 07:34:16 +00:00
uebayasi
917d29d213 Rename older (<1.6) Guile as guile14.
Packages using Guile now all depend on guile14.  These packages are
expected to be made depend on newer Guile (1.6.x) when updated in the
future.
2003-01-07 03:56:17 +00:00
cjep
6098c4bbb4 Whitespace nit 2003-01-04 23:00:56 +00:00
jmmv
502d4e2e0d Change my email address to the NetBSD one (hispabsd.org -> netbsd.org).
Approved by wiz.
2003-01-03 15:26:54 +00:00
jschauma
7e72fa0860 Bump PKGREVISION on packages that depend on x11/xforms, since there
has been a soname change.  Pointed out by fredb.
2002-12-28 21:22:53 +00:00
uebayasi
25528e9d69 Revert previous; the problem had been fixed by Jan Schaumann. 2002-12-28 15:58:32 +00:00
uebayasi
648436e86b Add missing dependencies. 2002-12-28 07:31:34 +00:00
jschauma
fee3cbf539 Add BUILD_DEPENDency on geda-symbols, to fix problems encountered during
Huberts latest bulk-build.
2002-12-26 18:17:19 +00:00
wiz
d8262452c1 Bump PKGREVISION because of dependency on latest freetype2 or glib2
package and library major bumps therein.
Also match dependency in corresponding buildlink2.mk's for the same reason.
Mmmm, binary packages.
2002-12-24 06:09:44 +00:00
wiz
3cf8d71faf Wildcard m4 dependency. 2002-12-23 19:57:51 +00:00
grant
697a352f0b USE_GNU_GETTEXT allows this to build.
From pino@dohd.org in PR pkg/19437.
2002-12-18 13:01:18 +00:00
dmcmahill
fe2c5b1c95 update to verilog-0.7
This release represents many bug fixes, expanded language coverage,
greatly enhanced xilinx fpga synthesis and several performance enhancements.
The complete list is rather long.
2002-12-15 01:57:12 +00:00
dmcmahill
547e584733 add and enable gdsreader 2002-12-14 02:19:38 +00:00
dmcmahill
e7a188d684 import gdsreader-0.3
GDSreader - simple Calma (GDSii) parser/printer tool.

This software has as target the printing/plotting/displaying of Calma (GDSii)
files without using true layout editors. I had once to visualize an unknown
Calma file and customizing LEdit or Magic (the two layout editors I had access
to) was so difficult that I decided to write this program.

Current status:
- gdsreader is in an alpha stage and you should not expect too much from it;
- the Calma files are almost completely parsed (had no layout example that
  makes use of BOX/NODE elements);
- given a Calma structure name, a PostScript file and a HPGL/2 file are
  generated. The way each layer is handled is controlled by an ASCII
  configuration file. The properties that can be set are color, fill (only
  solid is supported), hatch (simple or cross, the angle and spacing are user
  customizable too).

In order to produce an useful PostScript output, you need to write a
configuration file (default is .layers.config). The one you'll find with the
distribution is suitable for the Calma example test.gds (an actual Bandgap
reference).
2002-12-14 02:18:25 +00:00
dmcmahill
66e0552abe update the gEDA suite of tools to the 20021103 release.
This represents many many improvements and bug fixes.  A few items to
note are that the attributes used by the symbol library have been
greately cleaned up and unified.  You may want to run gsymupdate and gschemupdate
if migrating from older versions of the tools.
2002-12-13 05:19:44 +00:00
tron
39a943ad92 Replace "true" by "${TRUE}". 2002-12-09 16:01:10 +00:00
dmcmahill
3a3f16b120 add and enable covered-current 2002-12-08 04:22:15 +00:00
dmcmahill
1e48941c59 initial import of covered-current-20021127.
This is a development snapshot.  Packages of the released/stable
versions will be imported as 'cad/covered' when available.

Covered is a Verilog code coverage analysis tool that can be useful
for determining how well a diagnostic test suite is covering the
design under test. Typically in the design verification work flow, a
design verification engineer will develop a self-checking test suite
to verify design elements/functions specified by a design's
specification document. When the test suite contains all of the tests
required by the design specification, the test writer may be asking
him/herself, "How much logic in the design is actually being
exercised?", "Does my test suite cover all of the logic under test?",
and "Am I done writing tests for the logic?".  When the design
verification gets to this point, it is often useful to get some
metrics for determining logic coverage. This is where a code coverage
utility, such as Covered, is very useful.

Please note that this package is a development snapshot and while it
contains the latest and greatest features, it may be buggy as well.
There is a seperate package which is made of the stable releases.
2002-12-08 04:21:43 +00:00
jmmv
0bba86ce5a Build-depend on libiconv; it is required to generate some files. 2002-12-04 08:21:22 +00:00
salo
1b437da674 USE_PKGLOCALEDIR. 2002-11-30 12:22:02 +00:00
dmcmahill
e0431f8846 update to gerbv-0.11
Changes since last version:
* fixed bug which caused huge memory usage and crashing when zooming way
  in to a layout
* Two bugs in aperture macros fixed; one caused "multi macro" macros not
  to work properly and the other was related to primitive 20.
* The Debian build system discovered that I checked if unsigned were -1.
* Some cleanup/speedup when parsing Gerber by removing nested strncmp's
  with a switch/case.
* RS274D caused segfault.
* If %SR%, %SF% and %AS% are defined to their default values the compiler
  doesn't complain anymore. I still don't handle them if they are non
  default values.
* In some strange corner case we managed to sometimes get spurious lines
  showing up on the image.
* Strange drill files from Orcad386 handled better (we all know what Pitch
  thinks about Orcad:-) ).
* Dino Ghilardi has contributed some code to be able to set the scale
  explicitly. That can be used when printing PNG's generated by gerbv.
  He has also written a text on how to actually get them out on the printer.
  It is in doc/PNG-print/PNGPrintMiniHowto.txt.
* Round off when converting from inches to pixels changed so poured areas
  built up by several lines now seems to fill. Before the change you could,
  at certain zoom levels, see gaps that wasn't there.
2002-11-22 00:41:14 +00:00
seb
b71eb19fb2 Trivially use buildlink2. 2002-11-11 23:15:27 +00:00
dmcmahill
194712b957 add buildlink2.mk file in preparation for some coming pkgs which need it 2002-11-10 01:57:48 +00:00
dmcmahill
584dc0ea1e add and enable tkgate 2002-10-29 00:35:02 +00:00
dmcmahill
0b2be2ee66 initial import of tkgate-1.6i provided in PR 18847 by Julio Merino,
jmmv at menta dot net.

TkGate is a digital circuit editor and simulator with a Tcl/Tk based
interface. TkGate includes a large number of built-in devices
including basic gates, memories, ttys and modules for hierarchical
design. The simulator can be controlled either interactively or
through a simulation script. Memory contents can be loaded from
files, and a microcode/macrocode compiler (gmac) is included to create
tkgate memory files from a high-level description. The simulator
supports continous simulation, single step simulation (by clock or
epoch) and breakpoints. Save files are in a Verilog-like format.

TkGate also includes a number of tutorial and example circuits which
can be loaded through the "Help" menu. The examples range from a
simple gate-level 3-bit adder to a 16-bit CPU programmed to play the
"Animals" game.

TkGate has a multi-langauge interface with support for English,
Japanese, French and Spanish.
2002-10-29 00:33:46 +00:00
dmcmahill
138883600f update to verilog-current-20021019
Release Notes for Icarus Verilog Snapshot 20021019

The synthesizer now detects asynchronous set/reset inputs to DFF
devices. The fpga and vvp code generators have been updated to support
these signals.

The vvp code generator also gained some register management code that
improves the thread register usage. This redoces code size for certain
common cases, and thus improves simulation performance.

The requirements on `ifdef and related compiler directives has been
relaxed, to correspond to more common behavior.

The parameter range support crashed if the range expressions had
parameters in them. This is fixed, and some signed-ness bugs fixed
along with it.

Rearrange some of the configure script tests to assure better
compatibility accross platforms.
2002-10-22 02:52:17 +00:00
dmcmahill
cbf80901b6 fix the iverilog-vpi shell script (bash-isms) 2002-10-17 01:38:42 +00:00
dmcmahill
fec4cbe599 update to gerbv-0.0.10
Yet another bunch of bugs in different corner cases of Gerber files
has been fixed. Many fixes in polygon area fill, some fixes in calculating
circles, a statically allocated array caused strange stray segfaults when
drawing aperture macros.

A bunch of new command line switches. Most important are:
* --display: use as in all other X-programs, ie open window from a remote
  computer.
* --geometry: Sets the geometry. Usually gerbv guess the resolution of
    your window and sets the window size accordingly. If you for instance
    have a bigger virtual window than actual screen the window can get quite
    big. With this switch you can override with for example --geometry=400x300

Fixes in drill file parser. Many drill files don't have drill sizes in them,
else perfectly valid files. Pitch fix makes gerbv parse drill files even
though they don't have drill sizes defined, but under protest.

Greatest fix of them all. Super imposing. Handles paint-scratch-paint
more proper. Changed dramatically how different layers are drawn "on
top of each other".
2002-10-16 01:36:07 +00:00
rh
ad5188cf52 Dan maintains gEDA these days. 2002-10-14 09:59:28 +00:00
dmcmahill
4b3af68b64 add and enable verilog-mode 2002-10-14 02:28:51 +00:00
dmcmahill
de8c84b1c7 initial import of verilog-mode-3.60
This is a major mode for editing Verilog HDL source code under GNU Emacs or
XEmacs.
2002-10-14 02:28:18 +00:00
dmcmahill
e58fa678a0 update to dinotrace-9.1h
Changes in Dinotrace 9.1h  08/30/2002
***     Save_duplicates is now on by default.

****    Fixed several bugs when save_duplicates is enabled.

****    Updated Windows install.  [Greg Loxtercamp]

****    Fixed coredump reading wide ascii traces.  [Vitaly Oratovsky]
2002-10-14 01:28:02 +00:00
dmcmahill
70bebbcb5f update to verilog-current-20020921 snapshot. Many improvemnts in the
synthesis code and bug fixes in the simulation code since the last
packaged snapshot.
2002-10-13 23:01:27 +00:00
wiz
2b074f2283 buildlink1 -> buildlink2. 2002-10-08 13:35:47 +00:00
wiz
f96ac4781c Mark as using X11, and convert to buildlink2.
First one should fix shark bulk build problem.
2002-09-30 14:54:21 +00:00
jlam
065cc7bfd4 buildlink1 -> buildlink2 and use gcc/buildlink2.mk instead of Makefile.gcc. 2002-09-29 05:11:01 +00:00
jlam
a7e66ffe3b buildlink1 -> buildlink2, and use gcc/buildlink2.mk instead of Makefile.gcc. 2002-09-29 03:31:13 +00:00
jlam
20971a7aee buildlink1 -> buildlink2 2002-09-25 06:36:07 +00:00
jlam
8138f57401 buildlink1 -> buildlink2 2002-09-23 09:28:47 +00:00
jlam
4d70afa87b buildlink1 -> buildlink2 2002-09-21 23:47:25 +00:00
jlam
7e7420c62a Fix a few typos. 2002-09-21 06:53:56 +00:00
jlam
23e500e235 * buildlink1 -> buildlink2
* properly use PKG_SYSCONFDIR
* use bsd.pkg.install.mk instead of local DEINSTALL script
2002-09-21 06:49:24 +00:00
jlam
c07721ed4e buildlink1 -> buildlink2 2002-09-21 06:44:35 +00:00
jlam
366b2513b2 spice and ng-spice conflict according to spice/Makefile. 2002-09-21 06:36:45 +00:00
jlam
d0320f04d6 buildlink1 -> buildlink2 2002-09-21 06:30:45 +00:00
jlam
926a56fbd5 buildlink1 -> buildlink2 2002-09-21 06:05:55 +00:00
jlam
48b937280b buildlink1 -> buildlink2 2002-09-21 01:23:56 +00:00
jlam
8c3c851c1e buildlink1 -> buildlink2 2002-09-21 00:31:17 +00:00
jlam
a6d9e3b189 buildlink1 -> buildlink2 2002-09-20 21:13:39 +00:00
rh
d44c0d2c2a Update eagle to 4.09r2. Changes include some device additions to the
library and minor bugfixes.
Update provided by <igy@arhc.org>.  This closes PR pkg/18279.
2002-09-13 21:35:17 +00:00
jlam
1293a34c3e Use ghostscript.mk. 2002-09-13 06:53:31 +00:00
wiz
44c3d794a9 Standardize. 2002-09-12 17:05:15 +00:00
wiz
80ee491886 Since the major of libiconv was increased during the update to 1.8,
bump dependency to latest libiconv version; recursively also bump all
dependencies of packages depending on libiconv.
Requested by fredb.
2002-09-10 16:06:32 +00:00
dmcmahill
04b0aa23bf update to verilog-current-20020828
Release Notes for Snapshot 20020828

This snapshot adds support for parameter and localparam bit
ranges. This is a IEEE1364-2001 feature, although some -1995 compilers
have supported it in the past.

Fixed a *nasty* and slippery bug with the evaluation of bit select of
nets. (Bit select of variables was unaffected.) The symptoms did not
clearly point to the problem, so bugs related to it were often mis-
reported.

Gate delays were lost when constants were propagated to their
inputs. This is fixed for the known broken cases. Also, mux output
delays have been fixed. Also, release statements that apply to elided
nets are turned into no-ops.

The r-values of non-blocking assignments are now precalculated at
compile time, if possible, as is done with blocking assignments. This
speeds up constant propagation, and is more thorough.

Also optimize subtraction of small constants from vectors, with the
new %subi instruction in vvp. This saves some in code size and thread
footprint.

Handling of x in r-value bit selects and memory word selects did the
wrong thing. Now they do the right thing. Also, x in the selector of
?: ternary operators does the right (and complicated) thing now. In
the process, a fork-join code generator bug was fixed.

Several bugs with time formatting have been fixed.

Temporaries in sequential blocks are detected by the synthesizer, and
converted into wires when needed. This expands support for
combinational logic synthesis.
2002-08-29 11:15:56 +00:00
dmcmahill
6673b4e22a update to verilog-current-20020817. Many many changes and bug fixes
since the last packaged snapshot.  Better language coverage, better
performance, improved synthesis, fixed bugs.  Too much to list here.
2002-08-24 04:36:44 +00:00
dmcmahill
000d5939e4 update to mcalc-1.5.
Corrects a small error in Keff and Z0 calculation.  Typical errors in the
previous version is less than 1% or so.
2002-08-23 01:31:24 +00:00
tron
7085ba822c Mark this package as NetBSD 1.4 and 1.5 only because it cannot be built
with g++ 2.95.3.
2002-08-17 05:59:00 +00:00
jlam
e1be891dbc Change explicit build dependencies on perl into "USE_PERL5=build". This
makes these packages build correctly on Darwin where perl>=5.8.0 is
required.
2002-07-24 19:45:22 +00:00
dmcmahill
ce0885ea72 in the config script that comes with magic, check for /usr/libexec/cpp
and if that doesn't exist look for /usr/libexec/cpp0.  While here,
use ${X11BASE}/include instead of /usr/X11R6/include.

Should fix recently noted bulk build problems on 1.6 systems.
2002-07-14 03:47:46 +00:00
dmcmahill
65adb86808 - remove comments about some limitations which are no longer present.
- remove comment about guile backend.


Thanks to Stephan Petersen (the program author) for pointing this out.
2002-07-09 23:20:22 +00:00
dmcmahill
3ab553687c update to gerbv-0.0.9
bug fixes: A couple of apertures drawn wrong has been fixed, like
  lines with square apertures and rotation of aperture macro primitive 4.

new features: Zoom outline and the measurement tools. You can also export
  the image as PNG,
2002-07-08 03:30:55 +00:00
agc
5e5852c64e Correct a typo in the master site. 2002-06-28 07:31:36 +00:00
agc
de5ca2d71d Make this package xpkgwedge-friendly. 2002-06-27 16:46:30 +00:00
seb
db84442a67 Substitute a couple of mkdir' by ${MKDIR}'.
Remove `-p' from mkdir arguments, it is already part of ${MKDIR}.
While here substitute a couple of ${PREFIX} by `%D' in
`@exec ${MKDIR} ...' lines and add a couple of missing `%D' in such lines too!
2002-06-26 10:29:33 +00:00
dmcmahill
006aa2b9f9 claim maintainership of this (from packages) 2002-06-15 20:07:45 +00:00
dmcmahill
6571e1f8a0 add PKG_SYSCONFDIR/pcb, $HOME/.pcb and . to the search path for PCB m4
files.  In addition sinclude the files 'site-config.inc',
'user-config.inc', and 'proj-config.inc' to allow for per-site, per-user,
and per-project configuration instead of only per-site configuration.
This is essential for use by non-sysadmin users and users who need to
keep project specific setups.
2002-06-01 20:11:55 +00:00
dmcmahill
53e4a5ba09 - use getcwd() instead of getwd().
- remove all compiler warnings on alpha

- add ${PKG_SYSCONFDIR}/pcb/local.inc where admins can list site specific
  libraries to be included instead of modifying one of the regularly
  installed/deinstalled files.  This way a local config is preserved when
  the pkg is upgraded.  Also a local config can be applied without modifying
  one of the files which is checksummed during the install.
2002-05-31 19:56:19 +00:00
dmcmahill
c1047eb90c - fix the gschem2pcb script (used to help go from a schematic to a netlist
and .pcb file for layout with the cad/pcb package).

- fix the PCBboard netlister (needs GNU m4)

- add depends on gm4.
2002-05-31 15:51:42 +00:00
dmcmahill
4aa4d1526a use MAGIC_HOME instead of CAD_HOME as the environment variable which
points to the magic installation.  This avoids possible conflicts with
some other UCB tools which use CAD_HOME.  Noted in private email from
Daniel Senderowitz.
2002-05-18 23:18:43 +00:00
dmcmahill
95a94d7cab update the gEDA suite of tools to the 20020414 snapshot.
Many bug fixes and improvements since last snapshot.  Many more
symbols added to the libraries.
2002-05-18 18:08:39 +00:00
dmcmahill
c4cb34d566 Update to gwave-20020122
minor update:
- interactive Y-zoom and XY-area zoom added (see Readme)
- zoom-to-exact-size dialog box added
2002-05-18 17:47:59 +00:00
dmcmahill
b032c8616c update to geda-docs-20020209 which is the latest documentation 2002-05-18 14:25:48 +00:00
dmcmahill
5548f76358 update to gerbv 0.0.8
Graphical quirks fixed are:
- zooming around the mouse pointer.
- zooming several steps at once goes much faster. No calculation and
  redrawing in each zoom step, but in the last step.

When you click with the left mouse button on a layer button you
get a popup menu with color selection, load file and unload file.
That is on a "per layer-basis". The "global" "Open File..." menu is
removed in favor for this.
2002-05-07 00:51:17 +00:00
dmcmahill
286c91b982 update to verilog-current-20020505
many improvements and bug fixes since the last packaged snapshot including:

-added the $sizeof system function as a builtin
-In VPI, the simulator event callbacks now work
-Concatenation expressions in parameters were broken are broken
-added the vpiModule iterator to VPI scope handles
2002-05-07 00:11:20 +00:00
jlam
ec8f6ad65a Note explicitly that this package is USE_X11BASE. Currently, it relies on
motif.buildlink.mk to define it.
2002-04-23 02:08:51 +00:00
cjep
0b435b2395 On arm32, avoid egcs internal compiler errors by using gcc-2.95.3 2002-04-20 15:34:44 +00:00
cjep
5bb8732d5e On arm32, use gcc-2.95.3 to avoid an internal egcs compiler error. 2002-04-20 15:22:25 +00:00
fredb
9807afcb60 Update dependency on xforms. We're mainly bumping the dependency
and package revision, since we may now link against the forms shared
library, and because we also have to add a dependency on jpeg lib.
2002-04-17 04:45:06 +00:00
dmcmahill
76b3a816f6 add magic 2002-04-06 21:39:34 +00:00
dmcmahill
d5335e8a3a import of magic-7.1
Magic is an interactive system for creating and modifying VLSI circuit
layouts.  With Magic, you use a color graphics display and a mouse or
graphics tablet to design basic cells and to combine them
hierarchically into large structures.  Magic is different from other
layout editors you may have used.  The most important difference is
that Magic is more than just a color painting tool: it understands
quite a bit about the nature of circuits and uses this information to
provide you with additional operations.  For example, Magic has
built-in knowledge of layout rules; as you are editing, it
continuously checks for rule violations.  Magic also knows about
connectivity and transistors, and contains a built-in hierarchical
circuit extractor.  Magic also has a plow operation that
you can use to stretch or compact cells.  Lastly, Magic has routing
tools that you can use to make the global interconnections in your
circuits.

Magic is based on the Mead-Conway style of design.  This means that it
uses simplified design rules and circuit structures.  The
simplifications make it easier for you to design circuits and permit
Magic to provide powerful assistance that would not be possible
otherwise.  However, they result in slightly less dense circuits than
you could get with more complex rules and structures.  For example,
Magic permits only Manhattan designs (those whose edges are vertical
or horizontal).
2002-04-06 21:37:28 +00:00
tron
b6343d0c10 Use "suse_linux/Makefile.application" to pick correct SuSE packages. 2002-04-04 12:29:46 +00:00
dmcmahill
e5b54ba7a8 Obey CFLAGS. In particular this lets the default -O2 for pmax get used
which fixes compile problems noted in PR pkg/16160 by
Daniel Senderowicz <daniel@bicho.SynchroDS.COM>.

Thanks to Simon Burge for helping on this.
2002-04-04 01:24:58 +00:00
dmcmahill
530758751a update to gnucap-0.31
The most significant changes are the BJT model and "binning".

New features:

1. BJT model.

2. "Binning" for all MOS models.

3. Internal element: non-quasi-static poly-capacitor. (needed by BJT).

4. Enhancements to the data structures and model compiler to support
binning in general.

5. A line prefixed by "*>" is not ignored, in spite of the fact that
"*" usually begins a comment.  This is a deliberate incompatibility
with Spice.  If you prefix a line by "*>" it will be interpreted as a
non-comment in Gnucap, but a comment in Spice.

6. Circuit line prefixes of ">" and command prefixes of "-->" are
ignored.  This is so you can copy and paste whole lines, without
having to manually remove the prompt string.


Changes that may or may not be improvements.

1. It is not the default to include stray resistance in device models.
The option "norstray" will revert to the old behavior.  This is only a
change to the default value of "rstray".


Significant internal changes:

1. The internal element non-quasi-static poly-capacitor actually
works.  It is used by the BJT model, and will eventually be used by
MOSFET models.

2. There are now two poly_g devices: "CPOLY_G" and "FPOLY_G".  There
are interface differences that impact modeling.  Previously, there was
only one, which is equivalent to the "FPOLY_G".
2002-03-29 02:24:42 +00:00
dmcmahill
06c065be8c update to verilog-current-20020317
Release Notes for snapshot 20020317

The first difference in this snapshot from the 0.6 release is that vvm
is no longer compiled by default. If you want to compile vvm, you must
enable it at configure time (--enable-vvm) and rebuild from
scratch. Eventually, vvm will disappear from the release altogether.

The next major difference is new support for user defined
functions. It is new support, so it is bound to be buggy, but it
should be somewhat complete. The major problem has been solved, so all
that remains are bugs around the edges.

The vvp run-time scheduler has been changed slightly. The run time
behavior is getting increasingly precise and picky, as larger designs
are thrown at the compiler. The change introduced in this snapshot
fixes logic gates to not propagate zero-time pulses, and thus fixes
some weird bugs in large designs.

I've also added initial support for the Verilog 200x pragma comment,
which are (* *) pairs. For now, the compiler ignores them as
comments. This is what a compiler is supposed to do with anything that
is not specifically recognized.

Also, Tony (Anthony Bybell) has added LXT dump support. The LXT output
file is a waveform output format that is much more compact then VCD.
The gtkwave waveform viewer supports the LXT format, and should
operate a bit faster when viewing LXT files. For now, there are
separate system tasks for managing LXT output ($lxt_dumpvars, etc) but
eventually the dump format will be selectable by environment variable
or command line switch.

This snapshot also includes various random bug fixes and improved
error messages for incorrect code.
2002-03-28 03:07:29 +00:00