Commit graph

47 commits

Author SHA1 Message Date
joerg
14b4b4cba7 Don't use malloc.h. 2007-08-05 17:16:29 +00:00
dmcmahill
7170cf5e3e update to verilog-0.8.3
** Release Notes for Icarus Verilog 0.8.3

This is a new release of the stable 0.8 branch. The changes from 0.8.2
are intended to be evolutionary, rather then revolutionary, to enhance
the stability of the branch.

Various simulator bugs have been fixed, including (but not limited to):
- Detect overrun of timescale vs. precision
- Handle more operators in constant expressions
- Various ivl crashes and panics fixed.
- Some performance bottlenecks have been fixed.
- Various tool compilation problems have been fixed.

Also, the internal synthesizer (for synthesis targets) has been
considerably improved. NOTE that the code generators have not been
improved to take advantage of all the changes here, so there is work
yet to be done.

The mingw build process for compiling in Windows has been reworked. It
is now possible (indeed preferable) to compile fully native Icarus
Verilog binaries on Windows with no Cygwin tools at all.
2006-10-04 23:52:47 +00:00
jlam
c16221a4db Change the format of BUILDLINK_ORDER to contain depth information as well,
and add a new helper target and script, "show-buildlink3", that outputs
a listing of the buildlink3.mk files included as well as the depth at
which they are included.

For example, "make show-buildlink3" in fonts/Xft2 displays:

	zlib
	fontconfig
	    iconv
	    zlib
	    freetype2
	    expat
	freetype2
	Xrender
	    renderproto
2006-07-08 23:10:35 +00:00
jlam
9430e49307 Track information in a new variable BUILDLINK_ORDER that informs us
of the order in which buildlink3.mk files are (recursively) included
by a package Makefile.
2006-07-08 22:38:58 +00:00
rillig
bab3be8890 For building verilog, lex is indeed needed. 2006-05-28 17:31:26 +00:00
joerg
3d46cc9b9e Needs bison. 2006-05-22 19:58:48 +00:00
rillig
76365c833f Fixed pkglint warnings. Since bison and lex are not used when building,
they don't need to be defined in USE_TOOLS.
2006-05-21 08:00:49 +00:00
dmcmahill
f102f15fe5 update to verilog-0.8.2. Adds edif output, contains several bug fixes for
compatibility with more c++ compilers.
2006-05-06 19:13:55 +00:00
reed
5abef9be14 Over 1200 files touched but no revisions bumped :)
RECOMMENDED is removed. It becomes ABI_DEPENDS.

BUILDLINK_RECOMMENDED.foo becomes BUILDLINK_ABI_DEPENDS.foo.

BUILDLINK_DEPENDS.foo becomes BUILDLINK_API_DEPENDS.foo.

BUILDLINK_DEPENDS does not change.

IGNORE_RECOMMENDED (which defaulted to "no") becomes USE_ABI_DEPENDS
which defaults to "yes".

Added to obsolete.mk checking for IGNORE_RECOMMENDED.

I did not manually go through and fix any aesthetic tab/spacing issues.

I have tested the above patch on DragonFly building and packaging
subversion and pkglint and their many dependencies.

I have also tested USE_ABI_DEPENDS=no on my NetBSD workstation (where I
have used IGNORE_RECOMMENDED for a long time). I have been an active user
of IGNORE_RECOMMENDED since it was available.

As suggested, I removed the documentation sentences suggesting bumping for
"security" issues.

As discussed on tech-pkg.

I will commit to revbump, pkglint, pkg_install, createbuildlink separately.

Note that if you use wip, it will fail!  I will commit to pkgsrc-wip
later (within day).
2006-04-06 06:21:32 +00:00
drochner
5a092ba4c4 add one... 2006-02-10 16:53:36 +00:00
joerg
5911def816 Recursive revision bump / recommended bump for gettext ABI change. 2006-02-05 23:08:03 +00:00
dmcmahill
d981e866dc add missing USE_LANGUAGES 2006-01-29 13:56:29 +00:00
rillig
f795c2e475 Removed trailing white-space. 2005-05-23 08:26:03 +00:00
jlam
6a6cd5f01f Replace explicit build dependencies on bison and manipulations on the
YACC variable with USE_TOOLS+=bison.
2005-05-22 20:28:47 +00:00
jlam
585534220c Remove USE_GNU_TOOLS and replace with the correct USE_TOOLS definitions:
USE_GNU_TOOLS	-> USE_TOOLS
	awk		-> gawk
	m4		-> gm4
	make		-> gmake
	sed		-> gsed
	yacc		-> bison
2005-05-22 20:07:36 +00:00
tv
f816d81489 Remove USE_BUILDLINK3 and NO_BUILDLINK; these are no longer used. 2005-04-11 21:44:48 +00:00
dmcmahill
f014ec5edb update to verilog-0.8.1. This is a minor bug fix release 2005-03-02 00:58:16 +00:00
agc
7ea6ce3da9 Add RMD160 digests in addition to SHA1 ones. 2005-02-23 14:59:23 +00:00
dmcmahill
abbba448ff update to verilog-0.8.
The current release is a considerable improvement over the previous stable
release. It includes 20 months of fixes and language coverage improvements.
For a complete history of changes, see the release notes for individual
snapshots between the 0.7 and 0.8 releases found at
ftp://ftp.icarus.com/pub/eda/verilog/snapshots/pre-0.8

A brief list of highlights:

 - Support for advanced standard data types such as real,
 - Lots more language support in general,
 - Kernel of an extensible, interactive debugger is new,
 - More complete support for user supplied system functions and tasks,
   including PLI system functions with various return value types,
 - Better standards compliance for core system tasks and functions in
   general, including some Verilog 2001 file I/O support, and
 - Performance improvements in general.
2004-10-14 22:29:04 +00:00
dmcmahill
74e0ec167c add lex to the GNU_TOOLS list. Needed for SunOS and probably some others. 2004-03-22 00:15:06 +00:00
dmcmahill
5ae1840525 bl3ify 2004-03-12 02:51:53 +00:00
grant
ed16993a08 replace deprecated USE_GMAKE with USE_GNU_TOOLS+=make. 2004-01-22 07:14:59 +00:00
jmmv
641390fd08 Fix build with gcc3. 2004-01-02 14:01:28 +00:00
jmmv
1b17462a5b Require any version of gperf greater than 2.7.2. 2004-01-02 14:00:21 +00:00
grant
91f00f1cbc s/netbsd.org/NetBSD.org/ 2003-07-17 21:21:03 +00:00
jmmv
f1446ddf2b Drop trailing whitespace. Ok'ed by wiz. 2003-05-06 17:40:18 +00:00
dmcmahill
fe2c5b1c95 update to verilog-0.7
This release represents many bug fixes, expanded language coverage,
greatly enhanced xilinx fpga synthesis and several performance enhancements.
The complete list is rather long.
2002-12-15 01:57:12 +00:00
dmcmahill
51cc1f3f79 update to verilog-0.6
WHAT'S NEW SINCE 0.5?

Quite a lot. Innumerable bugs have been fixed, and standards coverage
has been improved significantly. Warning and error messages have been
improved, and so has compile performance. Gate delays, strength
modeling, and floating point delays have all improved since the 0.5
release. If you had trouble with the 0.5 release, the 0.6 release
probably fixes your problem.

Support for large designs spanning multiple files has been improved
dramatically. The usual preprocessor inclusion method still works, but
The 0.6 release adds command files for keeping source file lists, and
automatic library searches for missing modules. The library mechinisms
are compatible with commercial tools, and commercial module libraries
can be used with Icarus Verilog.

Many compiler limitations related to the size and complexity of large
designs have been relaxed or eliminated. There are no known design
size limitations remaining in the compiler. Icarus Verilog should be
able to handle any design that you have the patience to compile.
2002-02-08 01:48:31 +00:00
zuntum
37637e483f Move pkg/ files into package's toplevel directory 2001-11-01 00:47:39 +00:00
jlam
f79573370a Mechanical changes to 375 files to change dependency patterns of the form
foo-* to foo-[0-9]*.  This is to cause the dependencies to match only the
packages whose base package name is "foo", and not those named "foo-bar".
A concrete example is p5-Net-* matching p5-Net-DNS as well as p5-Net.  Also
change dependency examples in Packages.txt to reflect this.
2001-09-27 23:17:41 +00:00
dmcmahill
0bdc96ae11 update to verilog-0.5
* The Big Change: VVP

Past versions of Icarus Verilog performed simulation by compiling the
Verilog design to intermediate C++ code, then in turn compiling that
C++ (usually with G++) to a binary executable. This program was then
executed to actually run the simulation.

The 0.5 compiler, however, uses a custom internal language called
"vvp." The vvp code generator writes a program in the vvp language
that the vvp interpreter executes. This gets runtime performance
similar to the older vvm method, but compile times are much faster.

The result of this change is that there is a new program, ``vvp'',
that is installed with the existing ``iverilog'' compiler. This
program actually executes the simulation generated by the vvp code
generator.

There are manual pages for the iverilog command and the new vvp
command, as well as a QUICK_START document to help you run your first
simulation.

* What Else Is New

The compiler itself is now a lot more robust. While it still does not
compile and understand the entire IEEE1364 standard, the compiler is
less likely to crash on bad input, gives better error messages, and
has generally been cleaned up.
2001-08-04 01:20:43 +00:00
jlam
c4e71c5e7a CPPFLAGS is now passed to MAKE_ENV and CONFIGURE_ENV by bsd.pkg.mk, so
adapt by moving CPPFLAGS settings to top-level, and removing explicit
inclusion of CPPFLAGS into MAKE_ENV and CONFIGURE_ENV.
2001-06-11 06:34:17 +00:00
agc
4681741c45 Move to sha1 digests, and add distfile sizes. 2001-04-19 16:26:55 +00:00
agc
18ea9c7e79 + move the distfile digest/checksum value from files/md5 to distinfo
+ move the patch digest/checksum values from files/patch-sum to distinfo
2001-04-17 10:22:24 +00:00
wennmach
1006c76cc2 Use wildcards in CONFLICTS. 2001-04-11 13:36:19 +00:00
hubertf
e32afb6fea Change BUILD_DEPENDS semantics:
first component is now a package name+version/pattern, no more
executable/patchname/whatnot.

While there, introduce BUILD_USES_MSGFMT as shorthand to pull in
devel/gettext unless /usr/bin/msgfmt exists (i.e. on post-1.5 -current).

Patch by Alistair Crooks <agc@netbsd.org>
2001-03-27 03:19:43 +00:00
wiz
2db9056f6e Update to new COMMENT style: COMMENT var in Makefile instead of pkg/COMMENT. 2001-02-16 13:41:26 +00:00
dmcmahill
a1cae2143b make sure the -I search path has the correct order to avoid picking
up a possibly out of date installed header from ${LOCALBASE}/include.
Note that while we don't support installing a pkg when a previous version
is installed, we should still be able to build it.

Problem noted in private email from Lennart Augustsson.
2001-02-07 18:26:16 +00:00
dmcmahill
1c2773e731 update to verilog-0.4.
from the authors announcement:

So many things have changed since version 0.3 that there is no point
in listing them. There have been tons and tons of bug fixes and the
language coverage is better, and so on and so forth. It's just so very
much better then version 0.3:-)

speaking as a user, some of my personal favorites are:
- support for signed variables
- iverilog now gives correct return codes (which makes 'make' much happier)

for a more complete list, the commit messages for
pkgsrc/cad/verilog-current/Makefile contain the changes for each
development snapshot between verilog-0.3 and verilog-0.4
2001-02-04 15:36:49 +00:00
dmcmahill
2892ff05e1 make iverilog give proper return codes. 2000-07-11 14:35:58 +00:00
dmcmahill
f5b7f311d1 make the default timescale in the output VCD files be 1ns for compatibility
with viewers such as Dinotrace.
2000-06-30 19:55:04 +00:00
dmcmahill
89c6f16070 update to verilog-0.3
Changes, from the authors release statement, are:

This release is a significant improvement over previous releases of
Icarus Verilog, including better language coverage, improved
synthesis, and increased performance.

This release adds to the 0.2 release support for Verilog-2000 style
parameters and parameter overrides, defparam, and localparam,
including proper handling of scoping rules. Also, strength modeling is
added, with support for strengths attached to gates and continuous
assignments.

Combinational user defined primitives have been added to complement
synchronous primitives that were already supported. Support for
primitives should now be fairly complete.

Force/release/assign/deassign syntax now works properly, allowing for
more sophisticated test bench design and debugging.

Bug fixes have been numerous and varied. This release of Icarus
Verilog is considerably more robust then previous versions, thanks to
diligent testing and bug reporting by users all over the world.
2000-06-22 03:15:31 +00:00
dmcmahill
9f9f676ac4 distinguish these 2 packages as "development snapshot" and "released" so
its more obvious of the difference.
2000-05-10 23:19:00 +00:00
dmcmahill
5f329b228c fix a bug in one of the patches that caused parse.cc to be built twice. 2000-03-07 18:24:48 +00:00
dmcmahill
e911e8c42d Update to the released version 0.2 of verilog. I will be creating a seperate
verilog-current pkg to track development snapshots.

This version has minor bug fixes over the previous snapshot package.  Notable
$display of a memory element now works correctly and a bug in $readmemb has
been fixed.
2000-03-07 16:05:13 +00:00
dmcmahill
81c179bc22 update package to verilog-20000212. This release incorporates most of the
NetBSD pkgsrc patches to the previous release.  Thanks to Stephen Williams
(the author) for his willingness to accept patches!
2000-02-14 22:55:31 +00:00
dmcmahill
2530131eeb Initial import of Icarus Verilog.
Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
compiler, compiling source code writen in Verilog (IEEE-1364) into some target
format. For batch simulation, the compiler can generate C++ code that is
compiled and linked with a run time library (called "vvm") then executed as
a command to run the simulation. For synthesis, the compiler generates
netlists in the desired format.

The compiler proper is intended to parse and elaborate design descriptions
written to the IEEE standard IEEE Std 1364-1995. This is a fairly large and
complex standard, so it will take some time for it to get there, but that's
the goal. I'll be tracking the upcoming IEEE Std 1364-1999 revision as well,
and some -1999 features will creep in.
2000-01-26 15:28:40 +00:00