Commit graph

627 commits

Author SHA1 Message Date
dmcmahill
63528c98d5 update to transcalc-0.14
Changes since 0.13:

* Fixed multiline string problems in help.h which prevented
  compilation in gcc >3.2
* Moved build environment automake, autoheader, autoconf to a more
  modern version (autoconf support is for >2.50).
* Ported transcalc to gtk2.0, which means that the dependency on
  gdk-imlib is now gone. gtk2.0 included gdk-imlib natively.
* Corrected some minor bugs related to config file
* Changed speed of light symbol from C to C0
* Modified configure.in to work also with Mingw32 (and hopefully cygwin)
* Added fnmatch.c and fnmatch.h (needed for Win32)
* Added program version number to saved files
* Updated speed of light constant (!) and changed its name from C to C0
  to avoid clash with variables in other f iles. Updated vacuum dielectric constant
* Moved examples from src directory to a separate examples dir
* Clean-up most warnings during compilation
* Upon running for first time, $HOME/.transcalc dir will be
  created with config file and save file
* Units default values now saved in config file
* Upon exit, last transmission line values remembered in save file
* Made some slight changes in gui setup
* Added coupled microstrip image
* Update manpage
2004-11-30 00:27:35 +00:00
dmcmahill
6029a03b32 remove gsl dependency. It is no longer needed 2004-11-27 20:57:47 +00:00
dmcmahill
5ebe1b673c update to atlc-4.6.0
Many many improvements and bug fixes since the last packaged
release.  A partial list is:

atlc should no longer fail any test on a multi-processor
system configured with --with-threads. The algorithm used
for both single processor and multiple processor (i.e.
threaded code is the same).

The -t option to atlc, which sets the number of threads
when configured for multiple processors can be set to 0
to use entirely the single-threaded algorithm. If set to
one, it will use the multi-threaded algoritm, but use
only one thread. If set to some other number, it will use
that number of threads and be optimal for the same number
of cpus.

Added some support for gathering hardware data under Linux.

Removed MPI support, as its not working at all.

Changes made to the code to remove the need for a type long long,
which should make the code more portable.

Some changes have been made to the bests so that when
the benchmark runs it should not produce junk for
the hardware information on any system. Previosly
is could create a lot of junk, that was all wrong.

uname is now only called once from try_portable.c
and the splatform specific stuff computed elsewhere

atlc now runs on anything from a toy to a supercomuter! Yes, that is
right. An early version of atlc has been run on a Sony Playstation 2
games console and version 4.4.0 has been tested on the Cray Y-MP
supercomputer!! It has also been run on a very large number of other
UNIX systems, so is hopefully very portable.

An option that was previously reccommended --enable-hardware-info
has been removed. It is now enabled by default, but can be
over-ridden with --disable-hardware-info.


Added a system call to get the number of configured
processors online in Linux. This seems to be undocumented
so it not without its risks, but it seems to work okay on the
limited number of systems tested on.

Someone has done a Windoze port of atlc. Appently it took just 5
minutes, from start to finish. A single bug was found that prevented
atlc compiling, but that was fixed - it needed a left brace removed.
This had never been seen on a UNIX system, since the offending code
was between a couple of #define's.

It has been bought to my attention that bitmaps created with Photoshop
prior to version 7.01 could not be read by atlc. This was not a
fault of Photoshop, but of atlc, so that bug has been fixed.

NEWS for realease 4.6.0  Nobember 2003.

This is a very different from the last release (4.5.1) in
two very important ways.

1) The basic accuracy for single dielectrics has been improved.
Now typical errors are only around 0.1%

2) I have re-enabled the calculation of multiple dielectrics
which were disabled due to accuracy concerns. I'm still not
100% happy with the algorithms, but on tests with a dual
coaxial cable with two dielectrics shows errors of under 2%,
I hope to improve this further at a later date.
2004-11-27 20:49:24 +00:00
dmcmahill
e9dc3ae5a4 update to covered-current-20040325.
This release contains lots of bug fixes and also contains the
initial version of the Covered report viewing GUI (line coverage only).  The following is a
description of the changes made since the last development release.

  - Ran C linting tool on all Covered source code and updated code based on linting errors/
    warnings.
  - Modified debug output to show file and line number of code that called the outputting
    function (easier to debug problems and useful in error regression testing).
  - Started initial error testing in regressions.
  - Several bug fixes made to remove segmentation faults and assertion errors in the new
    report generation functions.
  - Initial version of Covered report viewing GUI added.  This version displays line coverage
    only at the moment.
  - Fixed bug in score command for statement removal (was resulting in memory errors that led
    to segmentation faults).
  - Fixed bug in report command that output bad verbose information when -c option was used.
  - Added new diagnostics to regression testsuite to reproduce situations where original
    segmentation faults were found to occur.
  - Development documentation updates.  No user documentation updates are released at this
    time (other than information provided using the -h global option to Covered).
2004-11-27 17:52:09 +00:00
dmcmahill
f9063fe8b1 update to covered-0.3
Changes since the last stable release:

  - User-specified FSM code coverage.  By using command-line options or inline code specified
    by the user, FSM code coverage can be extracted from the design.  This does not include
    the ability to automatically extract FSMs from the design (an ability that will be added
    in future stable releases).
  - Enhanced performance of the score command.  A 3x - 5x speedup in the running time of the
    score command on the design should be expected with this release over past stable releases.
  - Enhanced readability of coverage reports.  The coverage report look has been overhauled to
    produce a much more readable/understandable coverage report.
  - Several bug-fixes have been made, including coverage number calculation bugs.
  - Development and user documentation updates.
  - Enhanced regression suite.
2004-11-27 15:04:02 +00:00
dmcmahill
ef4e3b32a6 update to verilog-current 20041004.
Release Notes for Icarus Verilog Snapshot 20041004

Some minor Makefile bugs have been fixed, and source file text
formatting has in some cases been normalized for release. Also,
configure scripts have been factored for a more consistent build.

Fixed continuous assignments to carry strength when needed for correct
behavior. This bug led to subtly incorrect reset behavior, but could
have caused strength modeling errors in a variety of situations.

Fixed some <= vs >= behaviors to be consistent. The results of these
comparisons, when sized values are involved, are more standard now.
2004-11-27 02:50:08 +00:00
adam
7b7ac9adff Unused patches 2004-11-19 13:46:31 +00:00
adam
df7fa515d0 Changes 3.3.0:
Replaced the "hspice" directory with Conrad Ziesler's
     "spiceparser".  The use of routine AddNTermModule() has
     broken the spice input for now, although once fixed, the
     results should be much improved.
     Also, changed the handling of expression parameters so
     that expression parameter results may be numeric, and
     evaluated results are saved in the PostScript output as
     instance values.  When traversing the hierarchy during
     redisplay, commands invoking a "selected" element will
     pick up the object instance being rendered.  The
     combination of these changes allows the implementation
     of several useful features such as counter-rotation and
     displaying the name of an object within the object.
2004-11-19 13:24:11 +00:00
wiz
24e3b17eb4 Update HOMEPAGE. 2004-10-29 12:29:53 +00:00
tv
dc99bb80f2 Update linkage to libltdl (via guile{,14}), which is now its own package.
Unfortunately, guile{,14}/buildlink3.mk directly includes it, and I don't
know which dependencies actually need libltdl, so it was a recursive bump.
Hopefully this recursive inclusion can be ripped out of
guile{,14}/buildlink3.mk at some point and bubble down to dependencies that
actually use libltdl, avoiding this headache in the future....
2004-10-15 14:50:05 +00:00
dmcmahill
abbba448ff update to verilog-0.8.
The current release is a considerable improvement over the previous stable
release. It includes 20 months of fixes and language coverage improvements.
For a complete history of changes, see the release notes for individual
snapshots between the 0.7 and 0.8 releases found at
ftp://ftp.icarus.com/pub/eda/verilog/snapshots/pre-0.8

A brief list of highlights:

 - Support for advanced standard data types such as real,
 - Lots more language support in general,
 - Kernel of an extensible, interactive debugger is new,
 - More complete support for user supplied system functions and tasks,
   including PLI system functions with various return value types,
 - Better standards compliance for core system tasks and functions in
   general, including some Verilog 2001 file I/O support, and
 - Performance improvements in general.
2004-10-14 22:29:04 +00:00
ben
8598a0877d Fix typo in linker flags so that qcad is linked properly.
Addresses PR#27240 from Joern Clausen.
2004-10-12 21:02:18 +00:00
tv
c487cb967a Libtool fix for PR pkg/26633, and other issues. Update libtool to 1.5.10
in the process.  (More information on tech-pkg.)

Bump PKGREVISION and BUILDLINK_DEPENDS of all packages using libtool and
installing .la files.

Bump PKGREVISION (only) of all packages depending directly on the above
via a buildlink3 include.
2004-10-03 00:12:51 +00:00
dmcmahill
a83d175109 add and enable gsmc 2004-10-02 05:25:58 +00:00
dmcmahill
dbb5b65a5b import gsmc-1.1
gsmc is a GTK application that allow to do all the calculation
usually done on a Smith Chart.  It permits to make calculation
for network composed by resistor, capacitor, inductance, and
transmission line (also as stub).  Network topology is
limited to series, parallel and as trasmissive
for trasmission line. No series connection are allowed in parallel
branch, neither parallel connection in series branch.
Trasmission line can be placed as quadrupole or as a parallel or
series stub, either opened or shorted at the other end.

Calculation procedure starts with setting the initial impedance to be
matched, next network elements are added and tuned to obtain the
desidered impedance value.

The network so obtained can be saved in spice format for other analysis;
current work can be saved for succesive retrieve.

The initial (start) impedance is thought as "the load" so when
adding a trasmission line placed as a quadrupole rotation is
clockwise, going "toward generator"; generator is placed after the
last network element, as can be seen from spice output.
2004-10-02 05:25:18 +00:00
dmcmahill
6154283a88 - use the configure output to decide if some headers should be included.
- add some missing headers to get rid of various compiler warnings on
  alpha.

Patches have been fed back to the author.
2004-10-02 00:50:14 +00:00
dmcmahill
7234f24b0c Move cad/tnt to cad/tnt-mmtl and rename the tnt executible from
tnt to tnt-mmtl to avoid a conflict with ham/tnt.  Addresses PR27100
from Berndt Josef Wulf.
2004-10-01 22:56:10 +00:00
wulf
fcd3054938 Added and enabled gattrib: An attribute editor for gEDA 2004-09-29 12:50:43 +00:00
wulf
60726f7565 Initial commit of gattrib-20040313: An attribute editor for gEDA 2004-09-29 12:48:51 +00:00
dmcmahill
bf90574558 add and enable tnt 2004-09-26 01:13:06 +00:00
dmcmahill
c0e644c97f import tnt-1.2.2
TNT-MMTL, the Multilayer Multiconductor Transmission Line 2-D and 2.5-D
electromagnetic modeling tool suite, generates transmission parameters
and SPICE models from descriptions of electronics interconnect
dimensions and materials properties.

MMTL programs and supporting libraries and documentation have been
under development at the Mayo Clinic since the mid-1980s. The programs
were developed under government sponsored electronics research
programs in the Special Purpose Processor Development Group
(SPPDG). They have been employed extensively at Mayo and distributed
to some government agencies and research collaborators. At the
beginning of 2004, we decided to release the TNT graphical front-end
and MMTL programs as free software under the GNU General Public
License (GPL).  Technically, MMTL programs are in the class of 2-D and
2.5-D "field solvers", which convert dimensions and materials
properties into electronic design parameters. The MMTL suite consists
of several programs, including lossy, loss-free, quasi-static, and
full-wave simulators. Circuit parameters are computed by either the
method of moments (MOM) or finite element methods (FEM). Basic
per-unit-length parameters are generated by the simulator, and can be
converted into HSPICE W-element models. MMTL is similar in many ways
to commercial field solver products which typically cost thousands of
dollars.
2004-09-26 01:12:11 +00:00
dmcmahill
4ae6a6af80 use DIST_SUBDIR since the distfile has changed with no name change 2004-09-22 22:37:27 +00:00
jlam
1a280185e1 Mechanical changes to package PLISTs to make use of LIBTOOLIZE_PLIST.
All library names listed by *.la files no longer need to be listed
in the PLIST, e.g., instead of:

	lib/libfoo.a
	lib/libfoo.la
	lib/libfoo.so
	lib/libfoo.so.0
	lib/libfoo.so.0.1

one simply needs:

	lib/libfoo.la

and bsd.pkg.mk will automatically ensure that the additional library
names are listed in the installed package +CONTENTS file.

Also make LIBTOOLIZE_PLIST default to "yes".
2004-09-22 08:09:14 +00:00
dmcmahill
3b3de6063b update to verilog-current-20040915. Changes in this snapshot:
The big news is that module instance arrays now work. Gate and UDP
instance arrays have worked for a while, but module instance arrays
were more tricky because of the scope arrys they create. The issues
have been dealt with, and module instance arrays are now supported.

An interesting but subtle set of bugs in the evaluation of ternary
expressions has been fixed. The problems expressed themselves when the
condition expression was constant.

Degenerate wait statements now work properly.

The @* syntax apparently missed sensitivities in l-value expressions
of assignment statements. This led to subtle bugs in carefully crafted
bits of code.

Verilog attributes are properly parsed in a few more contexts. Also,
some specify syntax cases have been fixed.

Some minor spelling and documentation errors have been fixed, along
with assorted compiler warnings.
2004-09-21 02:23:19 +00:00
dmcmahill
d6a8e41907 update to fastcap-2.0.19920918. Old distfile was no longer available.
New version is the same code but with a newer and less restrictive
license.  Also update the homepage.
2004-09-21 01:40:17 +00:00
dmcmahill
ab8490f3bf - homepage has moved
- distfile has moved
- license has changed.  Patches are the result of de-fuzzing the
  old patches since the new source files have the new (less restrictive)
  license.
2004-09-20 02:08:58 +00:00
he
cc6ba21b52 Bring this a tiny step closer to building by patching a missing semicolon
in one of the source files of the built-in readline library.
2004-09-17 09:36:02 +00:00
dmcmahill
cb6e8fdbc1 update to pcb-current-20040903
========================================================================
Release Notes for PCB snapshot 20040903
========================================================================

- enable -Wall when compiling with gcc.  Many compiler warnings have
  been fixed.

- add a short man page which refers the user to the real documentation

- Added ExecuteFile(file) action which allows the execution of several
  actions contained in a text file.

- Added -script <file> flag which specifies a file containing actions
  to be executed upon startup.

- Various documentation improvements

- Protect some gcc extensions with tests for gcc.  This allows
  compilation with the IRIX c compiler.

- Add support for a background image in the drawing area which can
  help in importing a layout from a scanned image.

- Updated the ~geda library 150 and 300 mil width SOIC packages
  to remove silk on pads and to more closely follow the IPC
  recommendations

- Added support for menu accelerators.

- segfault when breaking apart an element with no element selected
  bug fixed.

- autorouter improvements

- added more 400mil DIP packages to ~geda library

- fix a trace optimizer bug which produced a segfault

- other various bug fixes
2004-09-04 20:12:14 +00:00
dmcmahill
f50dd47782 update to verilog-current-20040828
changes include:

Added support for the `default_nettype directine, including the
default net type of "none", which turns off implicit net declarations.

Signed /, % and >>> in nets should now work properly. Also, various
operators of all sorts applied to constants have been improved.

Ranges now work on localparams.

Added the system tasks $unsigned, $is_signed, $mti_random and
$mti-dist_uniform. See the make README.txt for a description of these
system functions. Also, flesh out the standard random number
generators to match the sequences generated by other compilers.

There is now an "sft" file that describes to the compiler the return
value of system functions. This allows user supplied system functions
to have interesting return types. See "SYSTEM FUNCTIO TABLE FILES" in
the iverilog man page. Include a sft file for the system functions,
and move the system functions over to that mechinism.

Fix the behavior of $fgets in tight fitting result buffers.

A variety of compilation environment fixes have been added. These
involve configure scripts and Makefiles.

And of course a variety of other bug fixes, and so on and so forth.
2004-09-02 01:55:47 +00:00
jlam
ca70938428 Replace RPATH_FLAG with LINKER_RPATH_FLAG and COMPILER_RPATH_FLAG,
which are the full option names used to set rpath directives for the
linker and the compiler, respectively.  In places were we are invoking
the linker, use "${LINKER_RPATH_FLAG} <path>", where the space is
inserted in case the flag is a word, e.g. -rpath.  The default values
of *_RPATH_FLAG are set by the compiler/*.mk files, depending on the
compiler that you use.  They may be overridden on a ${OPSYS}-specific
basis by setting _OPSYS_LINKER_RPATH_FLAG and _OPSYS_COMPILER_RPATH_FLAG,
respectively.  Garbage-collect _OPSYS_RPATH_NAME and _COMPILER_LD_FLAG.
2004-08-27 06:29:06 +00:00
kristerw
029b621456 Recent cmake does no longer configure a project when run with no
arguments.  Use "cmake ." instead.
2004-08-14 03:52:55 +00:00
recht
4150812b27 add python as category
ok'd a while back at pkgsrcCon by agc and wiz
2004-07-22 09:15:59 +00:00
dmcmahill
bdec6d24be make sure we pick up our tcl headers and not the one shipped with
the tarball since we're linking to our tcl library and not the
linux-i386 library shipped with the tarball.
2004-07-18 02:46:41 +00:00
dmcmahill
78507e1102 add and enable gnetman 2004-07-17 23:50:02 +00:00
dmcmahill
92a822c869 import gnetman-20040507
gnetman is a program which currently supports advanced SPICE
netlisting from gschem (part of the gEDA tool suite). The
primary use so far has been for complex IC design.  Supported
features include multi-level hierarchy, instance arrays, and
buses. If you're just doing flat designs for PC boards or
smaller SPICE simulations, the usual path is to use the spice-sdb
netlister for gnetlist instead.

The long term goal of gnetman is far greater. Two projects under
consideration include a schematic generator, and a technology
mapping back-end for Icarus Verilog. Basically, gnetman is a
netlist manipulation database well suited for low-level
manipulation of netlists.
2004-07-17 23:49:25 +00:00
minskim
6b882c7c6a Do not use stime as a variable name, because it conflicts with
stime(2) on Linux.
2004-06-16 15:33:00 +00:00
grant
69ca9a40e8 this needs flex(1) to build. 2004-06-11 13:19:49 +00:00
jmmv
31103b5a29 Convert to use subst.mk instead of a home-grown string replacement "system". 2004-06-08 18:01:14 +00:00
jmmv
dab4925e21 Fix build. The main binary was not beeing built properly because of missing
library dependencies (caused by the tcl/tk update to 8.4).  Use tclConfig.sh
to determine which libraries are really needed.  Noted in last kristerw@'s
bulk build.
2004-06-08 17:52:22 +00:00
dmcmahill
4970d0ff3f update to verilog-current-20040606
* Release Notes for Icarus Verilog Snapshot 20040606

Ports of primitives can bind by name as well as by position. Also
support Verilog 2001 style port declarations for primitives.

System function return types can now be specified by system function
table files. System Function Table Files are described in the iverilog
man page. Also include better system function return types in VPI.

Non-blocking assign of real values to real variables now works.

Properly handle nul strings ("") as 8bit values. This is a weirdness
legacy of XL.

Fix some synthesis problems for logical OR and logical AND. Bitwise OR
and AND were fine. These fixes affected simulation as well.

Handle wait statements with all sorts of constant values. These are
sometimes weird, bug legal.

Handle Negative value reals, and a few other bugs related to real
numbers.

Change internal use of identifiers to perm_strings for better
performance.

Functions returning unsupported types now generate error
messages. Previously, they would quietly generate bad
code. Infrastructure is also added to eventually support arbitrary
function return types.

Better compile-time support for Cygwin vs mingw32.

The ipal target is removed from this source. (ipal is now an add-on
package that is compiled seperately.)
2004-06-07 01:09:50 +00:00
minskim
488c8eba64 Switch to Tk 8.4. 2004-06-05 13:57:54 +00:00
minskim
8949ebf0a4 Remove dependency on libstroke, which is not used by this package.
OK'ed by dmcmahill@, the maintainer.

Bump PKGREVISION due to the dependency change.
2004-06-03 16:28:53 +00:00
minskim
b1c38e1a8f This package uses libstroke. Add dependence on it explicitly.
OK'ed by dmcmahill@, the maintainer.

Bump PKGREVISION due to the dependency change and libstroke major
version bump.
2004-06-03 16:27:15 +00:00
dmcmahill
2af806cc30 update to pcb-current-20040530. Changes since last snapshot are:
========================================================================
Release Notes for PCB snapshot 20040530
========================================================================
This has been an exciting 3 months for PCB.  Many improvements have
been made in the program as well as additions and improvements to the
footprint library.  Thanks to everyone who has provided feedback,
patches, etc.

----------------------
Additions/Improvements
----------------------
  - Expanded DRC checking
  - "Realtime" DRC checking
  - DRC settings are now stored in the board fle
  - Centroid (X-Y) and bill of materials output
  - Dynamic menus.  Users can now customize the menus via a
    site-wide, per-user, or per-project menu resource file.
  - Significant improvements to autorouting of congested designs.
  - Autorouter can now use power planes.
  - Improved appearance in autorouter output.
  - Silk on pads/pins is clipped in RS-274-X output
  - Ordering is preserved when writing output files so that diff
    may be effectively used on pcb files.
  - The autoplace feature now actually works
  - Added ToggleVisibility action
  - Most of the database is now kept in rtrees which avoids linear
    searches.  This speeds up operation significantly.
  - Added SetFlag, ClrFlag, ChangeFlag actions

---------
Bug Fixes
---------
  - pin/pad bounding box calculation fixed.  Components can
    now be placed closer to the edge of the board.
  - miter trace optimization bug.
  - Fix bug with pins which are enclosed by multiple polygons.
  - Shorts to unnamed elements and pins are handled correctly.
  - Various memory leaks fixed.
  - Fixed some bugs with a really high zoom.
  - Fixed default media selection in postscript print dialog
  - Fix some DRC bugs with square pins.

------------------
Footprint Library:
------------------
  - Added SOJ footprint family (~geda library)
  - Added CTS series 742/3/4/5/6 resistor pack footprints (~cts library)
  - Added PKG_CONNECTOR_DIL macro to let the HEADER*_1 footprints work
    (~geda library)
  - Correct the SDIP footprints (~geda library)
  - Correct some Minicircuits footprints (~minicircuits library)
  - Correct some panasonic footprints (~panasonic library)
  - Change refdes silk size for sockets (~johnstech library)
  - Increase soldermask relief on some mounting holes
    (~johnstech library)
  - Convert the COMMON_SMT_DIL_MIL and COMMON_SMT_DIL_MM macros
    used by several other libraries to the hi-res format.
  - Correct pin count on 100 pin QFP packages (100 not 72)
    (~geda library)
  - Correct pin count on HEADER60_2 (60 not 50) (~geda library)
2004-05-31 12:42:43 +00:00
dmcmahill
ff1a47d9ad update to gerbv-0.16.
additions/changes since gerbv-0.15:

* projects: you can save and load setups in what I call projects.
* GTK+ 2 support
* Now you can invert a layer by the push of button. Basically.
* When gerber files were defined with omit trailing zeros, gerbv could
  parse them very bad. Now it should work better.
* Aperture macros did not handle exposures at all. Now they do a better
  work.  Some thermals are defined from their CAD program as a sequence of
  aperture macros instead of just using aperture macro 7. They are drawn
  better now.
* Handles tool tables when drawing drill files thanks to Dimitri. See man
  page for more info.
2004-05-29 00:17:45 +00:00
minskim
aec502047a A package name in DEPENDS should be a shell glob pattern, not a regex. 2004-05-25 01:34:06 +00:00
danw
e2d6785d48 s/malloc.h/stdlib.h/ (for darwin/freebsd) 2004-05-07 01:44:03 +00:00
dmcmahill
331619da0a obey XAW_TYPE and include xaw.buildlink3.mk 2004-05-04 02:14:44 +00:00
snj
b253bd6794 Convert to buildlink3. 2004-05-03 20:49:33 +00:00
snj
dd82c3374a Convert to buildlink3. 2004-04-27 21:26:08 +00:00