pkgsrc/cad/verilog/patches
dmcmahill 0bdc96ae11 update to verilog-0.5
* The Big Change: VVP

Past versions of Icarus Verilog performed simulation by compiling the
Verilog design to intermediate C++ code, then in turn compiling that
C++ (usually with G++) to a binary executable. This program was then
executed to actually run the simulation.

The 0.5 compiler, however, uses a custom internal language called
"vvp." The vvp code generator writes a program in the vvp language
that the vvp interpreter executes. This gets runtime performance
similar to the older vvm method, but compile times are much faster.

The result of this change is that there is a new program, ``vvp'',
that is installed with the existing ``iverilog'' compiler. This
program actually executes the simulation generated by the vvp code
generator.

There are manual pages for the iverilog command and the new vvp
command, as well as a QUICK_START document to help you run your first
simulation.

* What Else Is New

The compiler itself is now a lot more robust. While it still does not
compile and understand the entire IEEE1364 standard, the compiler is
less likely to crash on bad input, gives better error messages, and
has generally been cleaned up.
2001-08-04 01:20:43 +00:00
..
patch-ad update to verilog-0.5 2001-08-04 01:20:43 +00:00