pkgsrc/ham/uhd/PLIST
adam 7c0eda08b7 uhd: updated to 3.14.1.0
003.014.001.000
N320: Terminate the DAC when not transmitting
E320: Add support for rev E
E320: Added .gitignore for FPGA build products
X300: Add DPDK support
X300: add capability to flash NI-2974 FPGA
X300: Broke two critical timing paths in FPGA
X300: fixed udp WSA buffer size assignment issue
E310: Fix DRAM_TEST target build
B200: Add bootloader for FX3 (fix for B2xx failing to enumerate)
TwinRX: Expose charge pump current for LO2
TwinRX: Add low spur mode for LO2
TwinRX: increase rev c lo1 charge pump default value
TwinRX: Fix tick rate
Device3: Constraint send/recv_frame_size based on down/upstream MTU
Device3: Fix MTU and default frame sizes
RFNoC: Search all nodes for tick rates
RFNoC: Change default address for the reg readbacks
uhd_image_builder: Let the OOT module point to folders not named "rfnoc"
uhd_image_builder: Add --auto-inst-src
MPMD: Fix spurious reclaim call after unclaim
MPMD: Release resources on destruction
MPM: Add SW/HW compat
liberio: Release context holder on destruction of last liberio xport
transport: fixed a pre-mature buffer reset
nirio: Fix typo in nirio_zero_copy
GPSD: fix API for 'gps_read'
AD9361: Fix return values for tune and set_clock_rate
DUC/DDC: Fix phase reset and accumulation
cores: Use NSDMI consistently in ?x_dsp_core_3000.*
Logging: fix deadlock issue on Windows machines
Logging: Fix ANSI colour codes
Utils: Add X300/X310 reset utility
Docs: Improved Windows-related build instructions
Docs: Add link to README for building custom filesystems for N3xx
sim: Fixing the port number in use for connection
tools: Fix for proj creation in ip_utils for tcl-based IP
tests: Fix mock_ctrl_iface for 32-bit MSVC
2019-07-02 16:44:55 +00:00

263 lines
8.3 KiB
Text

@comment $NetBSD: PLIST,v 1.15 2019/07/02 16:44:55 adam Exp $
bin/uhd_cal_rx_iq_balance
bin/uhd_cal_tx_dc_offset
bin/uhd_cal_tx_iq_balance
bin/uhd_config_info
bin/uhd_find_devices
bin/uhd_image_loader
bin/uhd_usrp_probe
include/uhd.h
include/uhd/build_info.hpp
include/uhd/cal/container.hpp
include/uhd/cal/power_container.hpp
include/uhd/config.h
include/uhd/config.hpp
include/uhd/convert.hpp
include/uhd/deprecated.hpp
include/uhd/device.hpp
include/uhd/error.h
include/uhd/exception.hpp
include/uhd/property_tree.hpp
include/uhd/property_tree.ipp
include/uhd/stream.hpp
include/uhd/transport/bounded_buffer.hpp
include/uhd/transport/bounded_buffer.ipp
include/uhd/transport/buffer_pool.hpp
include/uhd/transport/chdr.hpp
include/uhd/transport/if_addrs.hpp
include/uhd/transport/tcp_zero_copy.hpp
include/uhd/transport/udp_constants.hpp
include/uhd/transport/udp_simple.hpp
include/uhd/transport/udp_zero_copy.hpp
include/uhd/transport/usb_control.hpp
include/uhd/transport/usb_device_handle.hpp
include/uhd/transport/usb_zero_copy.hpp
include/uhd/transport/vrt_if_packet.hpp
include/uhd/transport/zero_copy.hpp
include/uhd/transport/zero_copy_flow_ctrl.hpp
include/uhd/types/byte_vector.hpp
include/uhd/types/clock_config.hpp
include/uhd/types/device_addr.hpp
include/uhd/types/dict.hpp
include/uhd/types/dict.ipp
include/uhd/types/direction.hpp
include/uhd/types/endianness.hpp
include/uhd/types/filters.hpp
include/uhd/types/io_type.hpp
include/uhd/types/mac_addr.hpp
include/uhd/types/metadata.h
include/uhd/types/metadata.hpp
include/uhd/types/otw_type.hpp
include/uhd/types/ranges.h
include/uhd/types/ranges.hpp
include/uhd/types/ref_vector.hpp
include/uhd/types/sensors.h
include/uhd/types/sensors.hpp
include/uhd/types/serial.hpp
include/uhd/types/sid.hpp
include/uhd/types/stream_cmd.hpp
include/uhd/types/string_vector.h
include/uhd/types/time_spec.hpp
include/uhd/types/tune_request.h
include/uhd/types/tune_request.hpp
include/uhd/types/tune_result.h
include/uhd/types/tune_result.hpp
include/uhd/types/usrp_info.h
include/uhd/types/wb_iface.hpp
include/uhd/usrp/dboard_base.hpp
include/uhd/usrp/dboard_eeprom.h
include/uhd/usrp/dboard_eeprom.hpp
include/uhd/usrp/dboard_id.hpp
include/uhd/usrp/dboard_iface.hpp
include/uhd/usrp/dboard_manager.hpp
include/uhd/usrp/fe_connection.hpp
include/uhd/usrp/gpio_defs.hpp
include/uhd/usrp/gps_ctrl.hpp
include/uhd/usrp/mboard_eeprom.h
include/uhd/usrp/mboard_eeprom.hpp
include/uhd/usrp/multi_usrp.hpp
include/uhd/usrp/subdev_spec.h
include/uhd/usrp/subdev_spec.hpp
include/uhd/usrp/usrp.h
include/uhd/usrp_clock/multi_usrp_clock.hpp
include/uhd/usrp_clock/octoclock_eeprom.hpp
include/uhd/usrp_clock/usrp_clock.h
include/uhd/utils/algorithm.hpp
include/uhd/utils/assert_has.hpp
include/uhd/utils/assert_has.ipp
include/uhd/utils/byteswap.hpp
include/uhd/utils/byteswap.ipp
include/uhd/utils/cast.hpp
include/uhd/utils/csv.hpp
include/uhd/utils/fp_compare_delta.ipp
include/uhd/utils/fp_compare_epsilon.ipp
include/uhd/utils/gain_group.hpp
include/uhd/utils/log.h
include/uhd/utils/log.hpp
include/uhd/utils/log_add.hpp
include/uhd/utils/math.hpp
include/uhd/utils/msg_task.hpp
include/uhd/utils/paths.hpp
include/uhd/utils/pimpl.hpp
include/uhd/utils/platform.hpp
include/uhd/utils/safe_call.hpp
include/uhd/utils/safe_main.hpp
include/uhd/utils/static.hpp
include/uhd/utils/tasks.hpp
include/uhd/utils/thread.hpp
include/uhd/utils/thread_priority.h
include/uhd/utils/thread_priority.hpp
include/uhd/version.hpp
lib/cmake/uhd/UHDConfig.cmake
lib/cmake/uhd/UHDConfigVersion.cmake
lib/libuhd.so
lib/libuhd.so.3.14.1
lib/pkgconfig/uhd.pc
lib/uhd/examples/benchmark_rate
lib/uhd/examples/gpio
lib/uhd/examples/latency_test
lib/uhd/examples/network_relay
lib/uhd/examples/rx_ascii_art_dft
lib/uhd/examples/rx_multi_samples
lib/uhd/examples/rx_samples_c
lib/uhd/examples/rx_samples_to_file
lib/uhd/examples/rx_samples_to_udp
lib/uhd/examples/rx_timed_samples
lib/uhd/examples/sync_to_gps
lib/uhd/examples/test_clock_synch
lib/uhd/examples/test_dboard_coercion
lib/uhd/examples/test_messages
lib/uhd/examples/test_pps_input
lib/uhd/examples/test_timed_commands
lib/uhd/examples/twinrx_freq_hopping
lib/uhd/examples/tx_bursts
lib/uhd/examples/tx_samples_c
lib/uhd/examples/tx_samples_from_file
lib/uhd/examples/tx_timed_samples
lib/uhd/examples/tx_waveforms
lib/uhd/examples/txrx_loopback_to_file
lib/uhd/examples/usrp_list_sensors
lib/uhd/tests/addr_test
lib/uhd/tests/buffer_test
lib/uhd/tests/byteswap_test
lib/uhd/tests/cal_container_test
lib/uhd/tests/cast_test
lib/uhd/tests/chdr_test
lib/uhd/tests/config_parser_test
lib/uhd/tests/constrained_device_args_test
lib/uhd/tests/convert_test
lib/uhd/tests/devtest/benchmark_rate_test.py
lib/uhd/tests/devtest/bitbang_test.py
lib/uhd/tests/devtest/devtest_b2xx.py
lib/uhd/tests/devtest/devtest_e320.py
lib/uhd/tests/devtest/devtest_e3xx.py
lib/uhd/tests/devtest/devtest_n3x0.py
lib/uhd/tests/devtest/devtest_x3x0.py
lib/uhd/tests/devtest/gpio_test.py
lib/uhd/tests/devtest/list_sensors_test.py
lib/uhd/tests/devtest/multi_usrp_test.py
lib/uhd/tests/devtest/python_api_test.py
lib/uhd/tests/devtest/run_testsuite.py
lib/uhd/tests/devtest/rx_samples_to_file_test.py
lib/uhd/tests/devtest/test_messages_test.py
lib/uhd/tests/devtest/test_pps_test.py
lib/uhd/tests/devtest/tx_bursts_test.py
lib/uhd/tests/devtest/uhd_test_base.py
lib/uhd/tests/devtest/usrp_probe.py
lib/uhd/tests/devtest/usrp_probe_test.py
lib/uhd/tests/dict_test
lib/uhd/tests/eeprom_c_test
lib/uhd/tests/eeprom_utils_test
lib/uhd/tests/error_c_test
lib/uhd/tests/error_test
lib/uhd/tests/expert_test
lib/uhd/tests/fe_conn_test
lib/uhd/tests/fp_compare_delta_test
lib/uhd/tests/fp_compare_epsilon_test
lib/uhd/tests/gain_group_test
lib/uhd/tests/log_test
lib/uhd/tests/math_test
lib/uhd/tests/narrow_cast_test
lib/uhd/tests/nocscript_expr_test
lib/uhd/tests/nocscript_ftable_test
lib/uhd/tests/nocscript_parser_test
lib/uhd/tests/packet_handler_benchmark
lib/uhd/tests/paths_test
lib/uhd/tests/property_test
lib/uhd/tests/ranges_c_test
lib/uhd/tests/ranges_test
lib/uhd/tests/sensors_c_test
lib/uhd/tests/sensors_test
lib/uhd/tests/sid_t_test
lib/uhd/tests/soft_reg_test
lib/uhd/tests/sph_recv_test
lib/uhd/tests/sph_send_test
lib/uhd/tests/string_vector_c_test
lib/uhd/tests/subdev_spec_c_test
lib/uhd/tests/subdev_spec_test
lib/uhd/tests/tasks_test
lib/uhd/tests/time_spec_test
lib/uhd/tests/vrt_test
lib/uhd/utils/b2xx_fx3_utils
lib/uhd/utils/converter_benchmark
lib/uhd/utils/converter_benchmark.py
lib/uhd/utils/fx2_init_eeprom
lib/uhd/utils/latency/graph.py
lib/uhd/utils/latency/responder
lib/uhd/utils/latency/run_tests.py
lib/uhd/utils/octoclock_burn_eeprom
lib/uhd/utils/query_gpsdo_sensors
lib/uhd/utils/uhd_images_downloader.py
lib/uhd/utils/usrp2_card_burner.py
lib/uhd/utils/usrp_burn_db_eeprom
lib/uhd/utils/usrp_burn_mb_eeprom
man/man1/octoclock_firmware_burner.1
man/man1/uhd_cal_rx_iq_balance.1
man/man1/uhd_cal_tx_dc_offset.1
man/man1/uhd_cal_tx_iq_balance.1
man/man1/uhd_config_info.1
man/man1/uhd_find_devices.1
man/man1/uhd_image_loader.1
man/man1/uhd_images_downloader.1
man/man1/uhd_usrp_probe.1
man/man1/usrp2_card_burner.1
man/man1/usrp_n2xx_simple_net_burner.1
man/man1/usrp_x3xx_fpga_burner.1
share/doc/uhd/LICENSE
share/doc/uhd/README.md
share/uhd/rfnoc/blocks/addsub.xml
share/uhd/rfnoc/blocks/block.xml
share/uhd/rfnoc/blocks/ddc.xml
share/uhd/rfnoc/blocks/ddc_eiscat.xml
share/uhd/rfnoc/blocks/ddc_single.xml
share/uhd/rfnoc/blocks/debug.xml
share/uhd/rfnoc/blocks/digital_gain.xml
share/uhd/rfnoc/blocks/dma_fifo.xml
share/uhd/rfnoc/blocks/dma_fifo_x4.xml
share/uhd/rfnoc/blocks/duc.xml
share/uhd/rfnoc/blocks/duc_single.xml
share/uhd/rfnoc/blocks/fft.xml
share/uhd/rfnoc/blocks/fifo.xml
share/uhd/rfnoc/blocks/fir.xml
share/uhd/rfnoc/blocks/fosphor.xml
share/uhd/rfnoc/blocks/keep_one_in_n.xml
share/uhd/rfnoc/blocks/logpwr.xml
share/uhd/rfnoc/blocks/moving_avg.xml
share/uhd/rfnoc/blocks/nullblock.xml
share/uhd/rfnoc/blocks/ofdmeq.xml
share/uhd/rfnoc/blocks/packetresizer.xml
share/uhd/rfnoc/blocks/radio_e3xx.xml
share/uhd/rfnoc/blocks/radio_eiscat.xml
share/uhd/rfnoc/blocks/radio_magnesium.xml
share/uhd/rfnoc/blocks/radio_neon.xml
share/uhd/rfnoc/blocks/radio_rhodium.xml
share/uhd/rfnoc/blocks/radio_x300.xml
share/uhd/rfnoc/blocks/replay.xml
share/uhd/rfnoc/blocks/replay_x2.xml
share/uhd/rfnoc/blocks/replay_x4.xml
share/uhd/rfnoc/blocks/schmidlcox.xml
share/uhd/rfnoc/blocks/serialdemod.xml
share/uhd/rfnoc/blocks/siggen.xml
share/uhd/rfnoc/blocks/splitstream.xml
share/uhd/rfnoc/blocks/vector_iir.xml
share/uhd/rfnoc/blocks/window.xml