shorten lock time

This commit is contained in:
lelgenio 2020-11-04 19:18:39 -03:00
parent c8ea5ef236
commit 3d274e56a4
1 changed files with 1 additions and 1 deletions

View File

@ -379,7 +379,7 @@ bindsym $mod+escape mode Passthrough
exec swayidle -w \
before-sleep '$lock' \
after-resume '$screenReload' \
timeout 1800 '$lock' \
timeout 180 '$lock' \
resume '$screenReload' \
timeout 1800 'swaymsg "output * dpms off"' \
resume 'swaymsg "output * dpms on";$screenReload' \