freebsd-ports/cad
Felix Palmen 7d1faa7179 bsd.sites.mk: Update all ports using USE_GITLAB
Replace GL_COMMIT by GL_TAGNAME in all ports. The new GL_TAGNAME is
backwards-compatible (accepting any commit hash as before), but also
understands an actual tag name. Moving to tag names where appropriate is
left to individual ports' maintainers.

Approved by:		portmgr (tcberner, mentor)
Differential Revision:	https://reviews.freebsd.org/D37077
2023-07-12 20:56:04 +02:00
..
abc cad/abc: Update g20200322 -> g20220920 2022-10-09 12:02:15 -07:00
admesh cad/admesh: update to 0.98.5 2022-11-23 07:28:54 +01:00
adms
alliance bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
antimony cad/antimony: New port: CAD from a parallel universe 2023-01-16 13:07:26 -08:00
appcsxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
archimedes
astk-client
astk-serveur all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
atlc
brlcad cad/brlcad: Fix pkg-plist 2023-06-20 18:04:46 +08:00
calculix */*: llvm15 build fixes 2023-05-17 18:30:15 +02:00
calculix-ccx *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
camotics devel/re2: Update to 20230301 2023-05-03 03:58:21 +08:00
caneda
cascade
cascade-compiler
chipvault
csxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine devel/protobuf: Update to 3.21.7 2022-10-09 23:37:51 +08:00
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital
dinotrace *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
ecpprog
electric
electric-ng
fasm
fdm_materials
feappv *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fidocadj
freecad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
freehdl *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fritzing
gds3d cad/gds3d: Fix build on 14 2023-07-09 01:51:57 -07:00
gdscpp cad/gdscpp: New port: C++ library to create and read GDSII file 2023-03-02 15:19:12 -08:00
gdsreader
gdt
geda accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
gerbv accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
ghdl *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gmsh */*: bump PORTREVISION to chase the upgrade of CGNS 2023-06-18 11:01:02 +02:00
gnucap
gplcver
graywolf *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gspiceui
gtkwave cad/gtkwave: Update to 3.3.115 2023-04-04 10:42:27 +01:00
horizon-eda cad/horizon-eda: Replace USE_CXXSTD with the patch provided by upstream 2023-07-10 17:26:20 -07:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim cad/irsim: Update to 9.7.116 2022-12-27 20:05:07 +00:00
iverilog cad/iverilog: Update 11.0 → 12_0 2023-04-18 08:55:15 -07:00
jspice3
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
klayout Mk/**ruby.mk: Switch from USE_RUBY=yes to USES=ruby 2023-01-14 17:08:33 -06:00
ktechlab audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
ldraw
ldview cad/ldview: Update 4.4.1 → 4.5 2023-04-24 21:55:37 -07:00
leocad cad/leocad: Update 21.06 → 23.03 2023-03-20 20:32:15 -07:00
lepton-eda accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
libgdsii cad/libgdsii: New port: C++ library and command-line utility for reading GDSII geometry files 2022-11-19 22:22:40 -08:00
libopencad cad/libopencad: fix build on armv? / powerpc 2023-03-13 00:02:41 +00:00
librecad cad/librecad: Update to 2.2.0.1 2023-07-10 15:32:05 -04:00
libredwg all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
librepcb
librnd cad/librnd: upgrade to 4.0.1 2023-06-02 00:29:22 +02:00
logisim
magic all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
meshdev
meshlab
netgen cad/netgen: Update to 6.2.2304. 2023-07-09 17:19:51 -05:00
netgen-lvs all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
ngspice_rework cad/ngspice_rework: fix packaging 2023-01-09 22:21:04 +01:00
nvc cad/nvc: Update 1.9.1 → 1.9.2 2023-05-03 02:08:10 -07:00
opencascade math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
openfpgaloader cad/openfpgaloader: Update 0.9.1 -> 0.10.0 2022-12-22 19:37:11 -08:00
openroad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
openscad */*: bump all direct Boost cunsumers 2023-04-27 21:25:55 +03:00
openscad-devel *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
opentimer *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
openvsp cad/openvsp: update to 3.34.0 2023-06-07 10:12:43 +02:00
oregano accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
p5-GDS2
p5-Verilog-Perl
padring
pcb accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
pcb-rnd cad/pcb-rnd: Update to 3.1.1 2023-06-17 16:16:59 +08:00
pdnmesh *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
PrusaSlicer *: bump PORTREVISION of Imath users 2023-06-02 23:40:45 +02:00
py-cadquery all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-cocotb all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-cq-editor all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-edalize all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ezdxf all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ocp all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-vunit-hdl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: Update to 3.28.1.0 2023-06-22 15:59:11 +02:00
qcsxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
qelectrotech cad/qelectrotech: Update to 0.9.0 2023-01-07 13:42:46 +03:00
qflow *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
qmls
qrouter cad/qrouter: Update 1.4.85 → 1.4.86 2023-03-14 22:15:50 -07:00
qspeakers cad/qspeakers: Add new port 2023-06-21 10:04:29 +02:00
qucs-s cad/qucs-s: Uodate 0.0.24 -> 1.0.0 2022-11-01 03:36:07 -07:00
qucsator
repsnapper accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
rubygem-gdsii Mk/**ruby.mk: Switch from USE_RUBY=yes to USES=ruby 2023-01-14 17:08:33 -06:00
scotch *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
silice *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
solvespace cad/solvespace: drop unused variable after 2c672a4de9 2023-05-21 01:03:56 +00:00
sp2sp accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
spice
stepcode cad/stepcode: update to 0.8.1 2022-10-06 10:48:16 +02:00
stm32flash
sumo graphics/gdal: Update to 3.7.0 2023-05-27 12:08:05 +08:00
surelog cad/surelog: Update 1.64 → 1.66 2023-06-16 23:55:07 -07:00
svlint cad/svlint: Update 0.7.2 → 0.8.0 2023-06-27 00:46:42 -07:00
svls lang/rust: Bump revisions after 1.70.0 2023-06-09 13:38:16 +02:00
sweethome3d cad/sweethome3d: update Sweet Home 3D to version 7.1 2023-03-06 04:31:24 +00:00
tkgate
tochnog *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
uhdm cad/uhdm: Update 1.64 → 1.66 2023-06-16 23:55:06 -07:00
uranium cad/uranium: Fix double PORTREVISION 2023-06-30 13:29:55 +02:00
verilator cad/verilator: Enable MAKE_JOBS_UNSAFE because the build is killed otherwise 2023-06-18 22:40:34 -07:00
verilog-mode.el *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
veroroute cad/veroroute: Update 2.31 → 2.36 2023-06-04 14:27:28 -07:00
veryl lang/rust: Bump revisions after 1.70.0 2023-06-09 13:38:16 +02:00
xcircuit
xyce */*: bump PORTREVISION after the upgrade of SuiteSparse 2023-07-01 18:11:11 +02:00
yosys all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
yosys-systemverilog cad/yosys-systemverilog: Add PORTSCOUT tag 2023-06-18 18:38:52 -07:00
z88 accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
zcad editors/lazarus: Bump PORTREVISION of dependant ports 2023-03-16 18:56:22 -05:00
Makefile cad/qspeakers: Add new port 2023-06-21 10:04:29 +02:00