freebsd-ports/cad
2024-01-23 11:32:28 +00:00
..
abc
admesh
adms
alliance MANPREFIX: eleminate its usage and move man to share/man 2024-01-08 17:30:29 +01:00
antimony
apio cad/apio: New port: Open source ecosystem for open FPGA boards 2024-01-06 00:06:40 -08:00
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
atlc cad/atlc: Sanitize MANPREFIX 2024-01-21 16:26:54 +01:00
brlcad cad/brlcad: Sanitize MANPREFIX 2024-01-21 02:01:06 +01:00
calculix */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
calculix-ccx */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
camotics devel/re2: Update to 20230801 2023-09-11 11:22:48 +08:00
caneda
cascade MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad cad/csxcad: update 0.6.2-109 → 0.6.3 2023-10-23 20:10:12 -07:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine devel/protobuf: Update to 24.4 2023-12-15 01:03:10 +08:00
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital
dinotrace editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
ecpprog
electric cad/electric: Fix build with llvm16 2023-07-26 17:04:03 +02:00
electric-ng
fasm
fdm_materials
feappv *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fidocadj
freecad devel/libfmt: Update to 10.1.1 2023-12-14 23:49:40 +08:00
freehdl cad/freehdl: upgrade to the latest 2023-11-21 21:11:17 +01:00
fritzing cad/fritzing: Fix build with llvm16 2023-07-26 11:40:12 +02:00
gds3d cad/gds3d: Fix build breakaga on 14 due to the 'byte' symbol collision 2023-07-18 07:21:39 -07:00
gdscpp cad/gdscpp: New port: C++ library to create and read GDSII file 2023-03-02 15:19:12 -08:00
gdsreader cad/gdsreader: Sanitize MANPREFIX 2024-01-21 18:53:27 +01:00
gdstk cad/{,py-}gdstk: update 0.9.48 → 0.9.49 2023-12-31 00:25:19 -08:00
gdt
geda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
gerbv accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
ghdl cad/ghdl: Add SYNTH option; Remove --disable-libghdl; Remove unused deps 2023-10-06 21:54:02 -07:00
gmsh */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
gnucap
gplcver
graywolf *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gspiceui MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
gtkwave */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
horizon-eda devel/libgit2: bump all ports depending on libgit2 for 1.7.1 upgrade 2023-11-13 15:10:10 +02:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim
iverilog cad/iverilog: Update 11.0 → 12_0 2023-04-18 08:55:15 -07:00
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-devel */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
klayout */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
ktechlab devel/kf5-extra-cmake-modules: add as build dependency 2023-08-15 23:17:14 +02:00
ldraw
ldview cad/ldview: Fix build with llvm16 2023-08-05 13:53:19 +02:00
leocad cad/leocad: Update 21.06 → 23.03 2023-03-20 20:32:15 -07:00
lepton-eda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
libgdsii
libopencad cad/libopencad: fix build on armv? / powerpc 2023-03-13 00:02:41 +00:00
librecad cad/librecad: Update to 2.2.0.2 2023-07-30 16:15:29 -04:00
libredwg Convert more of my ports to new manual page location (part two). 2024-01-23 11:32:28 +00:00
librepcb cad/librepcb: update 0.1.6 → 1.0.0 2023-10-31 13:30:17 -07:00
librnd cad/librnd: use a cleaner way to unbreak the build on recent -CURRENT 2023-09-06 14:55:20 +00:00
logisim
magic cad/magic: Fix build on 15 by explicitly defining configure option 2024-01-12 19:17:44 -08:00
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab cad/meshlab: Fix build with llvm16 2023-07-21 17:41:46 +02:00
netgen cad/netgen: Update to 6.2.2307. 2023-12-23 16:38:38 -06:00
netgen-lvs cad/netgen-lvs: update 1.5.264 → 1.5.265 2024-01-10 21:09:39 -08:00
ngspice_rework cad/ngspice_rework: update to v41 2023-09-10 15:10:40 -05:00
nvc cad/nvc: update 1.11.1 → 1.11.2 2024-01-05 01:38:12 -08:00
opencascade multimedia/libvpx: update 1.14.0 2024-01-20 01:41:39 +01:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
openfpgaloader cad/openfpgaloader: Update 0.10.0 → 0.11.0 2023-08-03 00:02:05 -07:00
openroad cad/openroad: update 2.0-2930 → 2.0-11595 2023-12-28 20:48:01 -08:00
openscad devel/boost*: bump all consumers after 1.83.0 2023-09-27 17:36:30 +03:00
openscad-devel *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
opentimer cad/opentimer: Remove the USE_GCC commented out line 2023-09-18 22:26:46 -07:00
openvsp cad/openvsp: Remove unnecessary patch for libxml2 2023-12-22 10:53:23 +01:00
oregano cad/oregano: remove useless patch and leave manpages in share/man 2024-01-12 14:00:45 +01:00
p5-GDS2 cad/p5-GDS2: Fix build 2024-01-15 18:06:06 +01:00
p5-Verilog-Perl
padring
pcb accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
pcb-rnd cad/pcb-rnd: chase commit 512d100d03 (cad/librnd) 2023-09-07 03:27:46 +00:00
pdnmesh */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
PrusaSlicer */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
py-amaranth cad/py-amaranth: update 0.4.0 → 0.4.1 2024-01-17 21:02:51 -08:00
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize cad/py-edalize: update 0.5.1 → 0.5.3 2023-12-10 15:58:30 -08:00
py-ezdxf all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdstk cad/{,py-}gdstk: update 0.9.48 → 0.9.49 2023-12-31 00:25:19 -08:00
py-gmsh cad/py-gmsh: fix build on powerpc64 2023-08-13 16:48:47 +02:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl cad/py-pymtl: update 3.1.15 → 3.1.16 2023-11-03 20:09:16 -07:00
py-pyvcd cad/py-pyvcd: New port: Python VCD file support 2023-07-27 23:03:50 -07:00
py-vunit-hdl cad/py-vunit-hdl: Fix most tests except for 1 2024-01-02 11:07:07 -08:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: remove unnecessary dependency on QtWebkit 2023-09-13 13:16:12 +02:00
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech
qflow *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
qmls
qrouter cad/qrouter: update 1.4.86 → 1.4.87 2023-11-05 15:07:53 -08:00
qspeakers cad/qspeakers: Update to upstream release 1.6.8 2023-07-14 15:21:54 +02:00
qucs-s cad/qucs-s: Fix build with Qt 6.6.x 2023-11-21 19:39:22 -05:00
qucsator
repsnapper accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
rubygem-gdsii
scotch */*: bump PORTREVISION after the upgrade of MPICH to 4.1.2 2023-12-10 11:23:11 +01:00
silice *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
solvespace cad/solvespace: Fix build with CMake >= 3.28.0 2023-12-28 18:03:31 -05:00
sp2sp */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
spice */*: Fix build with llvm16 on 13.2-STABLE 2023-07-26 02:48:20 +02:00
stepcode */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
stm32flash
sumo */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
surelog cad/surelog: update 1.81 → 1.82 2023-12-10 15:58:30 -08:00
svlint lang/rust: Bump revisions after 1.75.0 2024-01-09 13:15:54 +01:00
svls lang/rust: Bump revisions after 1.75.0 2024-01-09 13:15:54 +01:00
sweethome3d cad/sweethome3d: update Sweet Home 3D to version 7.1 2023-03-06 04:31:24 +00:00
symbiyosys cad/symbiyosys: update 0.36 → 0.37 2024-01-17 21:21:53 -08:00
tkgate
tochnog */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
uhdm cad/uhdm: update 1.81 → 1.82 2023-12-10 15:58:29 -08:00
uranium *: Replace USES=pycryptography* with USE_PYTHON=cryptography{,_build,_test} 2023-09-29 22:19:56 +08:00
verilator cad/verilator: update 5.018 → 5.020 2024-01-01 22:53:20 -08:00
verilog-mode.el editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
veroroute cad/veroroute: Update 2.31 → 2.36 2023-06-04 14:27:28 -07:00
veryl cad/veryl: update 0.5.6 → 0.6.0 2024-01-19 21:20:54 -08:00
xcircuit */*: Bump PORTREVISION for Ghostscript 10 change 2023-11-03 08:17:38 +01:00
xyce */*: bump PORTREVISION after the upgrade of SuiteSparse to v7.5.0 2024-01-13 11:19:22 +01:00
yosys cad/yosys: update 0.36 → 0.37 2024-01-17 21:21:47 -08:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00
yosys-systemverilog cad/yosys-systemverilog: Broken 2023-07-28 23:39:15 -07:00
z88 */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
zcad */*: Bump PORTREVISON because lazarus was updated to 3.0.0 2023-12-22 20:11:53 -05:00
Makefile cad/symbiyosys: New port: SymbiYosys (sby): Front-end for Yosys-based formal verification flows 2024-01-10 21:09:39 -08:00