freebsd-ports/cad
Nuno Teixeira 6873e16acf cad/gtkwave: Switch to gtk3
Switch to gtk3 upstream version
2023-11-25 22:20:06 +00:00
..
abc
admesh
adms
alliance bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
antimony
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
atlc */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
brlcad cad/brlcad: Remove CC/CPP/CXX exports 2023-09-28 20:56:25 +02:00
calculix cad/calculix: Remove CC/CPP/CXX exports 2023-09-28 20:56:25 +02:00
calculix-ccx cad/calculix-ccx: Update to 2.21 2023-08-04 08:12:42 +02:00
camotics devel/re2: Update to 20230801 2023-09-11 11:22:48 +08:00
caneda
cascade */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad cad/csxcad: update 0.6.2-109 → 0.6.3 2023-10-23 20:10:12 -07:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital
dinotrace editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
ecpprog
electric cad/electric: Fix build with llvm16 2023-07-26 17:04:03 +02:00
electric-ng
fasm
fdm_materials
feappv *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fidocadj
freecad cad/freecad: update to 0.21.2 2023-11-14 19:22:09 +01:00
freehdl cad/freehdl: upgrade to the latest 2023-11-21 21:11:17 +01:00
fritzing cad/fritzing: Fix build with llvm16 2023-07-26 11:40:12 +02:00
gds3d cad/gds3d: Fix build breakaga on 14 due to the 'byte' symbol collision 2023-07-18 07:21:39 -07:00
gdscpp cad/gdscpp: New port: C++ library to create and read GDSII file 2023-03-02 15:19:12 -08:00
gdsreader
gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
gdt
geda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
gerbv accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
ghdl cad/ghdl: Add SYNTH option; Remove --disable-libghdl; Remove unused deps 2023-10-06 21:54:02 -07:00
gmsh */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
gnucap
gplcver
graywolf *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gspiceui
gtkwave cad/gtkwave: Switch to gtk3 2023-11-25 22:20:06 +00:00
horizon-eda devel/libgit2: bump all ports depending on libgit2 for 1.7.1 upgrade 2023-11-13 15:10:10 +02:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim
iverilog cad/iverilog: Update 11.0 → 12_0 2023-04-18 08:55:15 -07:00
jspice3 cad/jspice3: Mark DEPRECATED 2023-10-29 23:53:39 +01:00
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad */*: Bump consumers of x11-toolkits/wxgtk32 2023-11-23 11:20:28 +00:00
kicad-devel */*: Bump consumers of x11-toolkits/wxgtk32 2023-11-23 11:20:28 +00:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
klayout
ktechlab devel/kf5-extra-cmake-modules: add as build dependency 2023-08-15 23:17:14 +02:00
ldraw
ldview cad/ldview: Fix build with llvm16 2023-08-05 13:53:19 +02:00
leocad cad/leocad: Update 21.06 → 23.03 2023-03-20 20:32:15 -07:00
lepton-eda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
libgdsii
libopencad cad/libopencad: fix build on armv? / powerpc 2023-03-13 00:02:41 +00:00
librecad cad/librecad: Update to 2.2.0.2 2023-07-30 16:15:29 -04:00
libredwg all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
librepcb cad/librepcb: update 0.1.6 → 1.0.0 2023-10-31 13:30:17 -07:00
librnd cad/librnd: use a cleaner way to unbreak the build on recent -CURRENT 2023-09-06 14:55:20 +00:00
logisim
magic all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab cad/meshlab: Fix build with llvm16 2023-07-21 17:41:46 +02:00
netgen cad/netgen: Update to 6.2.2305 2023-10-25 05:20:02 +00:00
netgen-lvs cad/netgen-lvs: update 1.5.256 → 1.5.262 2023-11-05 15:07:53 -08:00
ngspice_rework cad/ngspice_rework: update to v41 2023-09-10 15:10:40 -05:00
nvc cad/nvc: update 1.10.3 → 1.10.4 2023-11-04 23:38:18 -07:00
opencascade cad/opencascade: fix location of cmake files 2023-11-05 14:07:18 +01:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
openfpgaloader cad/openfpgaloader: Update 0.10.0 → 0.11.0 2023-08-03 00:02:05 -07:00
openroad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
openscad devel/boost*: bump all consumers after 1.83.0 2023-09-27 17:36:30 +03:00
openscad-devel *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
opentimer cad/opentimer: Remove the USE_GCC commented out line 2023-09-18 22:26:46 -07:00
openvsp cad/openvsp: update to 3.36.0 2023-10-30 13:42:20 +01:00
oregano cad/oregano: Fix build with llvm16 2023-08-05 21:47:29 +02:00
p5-GDS2
p5-Verilog-Perl
padring
pcb accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
pcb-rnd cad/pcb-rnd: chase commit 512d100d03 (cad/librnd) 2023-09-07 03:27:46 +00:00
pdnmesh */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
PrusaSlicer */*: Bump consumers of x11-toolkits/wxgtk32 2023-11-23 11:20:28 +00:00
py-amaranth cad/py-amaranth: New port: Amaranth hardware definition language 2023-07-28 00:45:19 -07:00
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ezdxf all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
py-gmsh cad/py-gmsh: fix build on powerpc64 2023-08-13 16:48:47 +02:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl cad/py-pymtl: update 3.1.15 → 3.1.16 2023-11-03 20:09:16 -07:00
py-pyvcd cad/py-pyvcd: New port: Python VCD file support 2023-07-27 23:03:50 -07:00
py-vunit-hdl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: remove unnecessary dependency on QtWebkit 2023-09-13 13:16:12 +02:00
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech
qflow *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
qmls
qrouter cad/qrouter: update 1.4.86 → 1.4.87 2023-11-05 15:07:53 -08:00
qspeakers cad/qspeakers: Update to upstream release 1.6.8 2023-07-14 15:21:54 +02:00
qucs-s cad/qucs-s: Fix build with Qt 6.6.x 2023-11-21 19:39:22 -05:00
qucsator
repsnapper accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
rubygem-gdsii
scotch *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
silice *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
solvespace cad/solvespace: drop unused variable after 2c672a4de9 2023-05-21 01:03:56 +00:00
sp2sp */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
spice */*: Fix build with llvm16 on 13.2-STABLE 2023-07-26 02:48:20 +02:00
stepcode cad/stepcode: update to 0.8.2 2023-10-03 09:17:00 +02:00
stm32flash
sumo */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
surelog cad/surelog: update 1.79 → 1.80 2023-11-15 02:36:05 -08:00
svlint lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
svls lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
sweethome3d cad/sweethome3d: update Sweet Home 3D to version 7.1 2023-03-06 04:31:24 +00:00
tkgate
tochnog */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
uhdm cad/uhdm: update 1.79 → 1.80 2023-11-15 02:36:04 -08:00
uranium *: Replace USES=pycryptography* with USE_PYTHON=cryptography{,_build,_test} 2023-09-29 22:19:56 +08:00
verilator cad/verilator: Add comment 2023-11-01 15:46:46 -07:00
verilog-mode.el editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
veroroute cad/veroroute: Update 2.31 → 2.36 2023-06-04 14:27:28 -07:00
veryl lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
xcircuit */*: Bump PORTREVISION for Ghostscript 10 change 2023-11-03 08:17:38 +01:00
xyce */*: bump portrevision to chase CHOLMOD’s upgrade 2023-10-31 15:07:33 +01:00
yosys cad/yosys: update 0.34 → 0.35 2023-11-08 00:50:08 -08:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00
yosys-systemverilog cad/yosys-systemverilog: Broken 2023-07-28 23:39:15 -07:00
z88 cad/z88: Fix build with llvm16 2023-07-26 19:47:06 +02:00
zcad lang/fpc-*: Merge all units to lang/fpc 2023-11-12 15:49:26 -05:00
Makefile cad/freehdl: resurrect 2023-11-21 21:09:59 +01:00