freebsd-ports/cad
2023-11-01 15:46:46 -07:00
..
abc
admesh
adms
alliance
antimony
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur
atlc */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
brlcad
calculix
calculix-ccx
camotics
caneda
cascade
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad cad/csxcad: update 0.6.2-109 → 0.6.3 2023-10-23 20:10:12 -07:00
cura
cura-engine
cvc
digital
dinotrace
ecpprog
electric
electric-ng
fasm
fdm_materials
feappv
fidocadj
freecad
freehdl cad/freehdl: Mark DEPRECATED 2023-10-21 12:10:49 +02:00
fritzing
gds3d
gdscpp
gdsreader
gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
gdt
geda
gerbv
ghdl cad/ghdl: Add SYNTH option; Remove --disable-libghdl; Remove unused deps 2023-10-06 21:54:02 -07:00
gmsh
gnucap
gplcver
graywolf
gspiceui
gtkwave
horizon-eda
hs-verismith
ifcopenshell
impact
irsim
iverilog
jspice3 cad/jspice3: Mark DEPRECATED 2023-10-29 23:53:39 +01:00
k40-whisperer
kicad
kicad-devel
kicad-doc
kicad-library-footprints
kicad-library-footprints-devel
kicad-library-packages3d
kicad-library-packages3d-devel
kicad-library-symbols
kicad-library-symbols-devel
kicad-library-templates
kicad-library-templates-devel
klayout
ktechlab
ldraw
ldview
leocad
lepton-eda
libgdsii
libopencad
librecad
libredwg
librepcb cad/librepcb: update 0.1.6 → 1.0.0 2023-10-31 13:30:17 -07:00
librnd
logisim
magic
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab
netgen cad/netgen: Update to 6.2.2305 2023-10-25 05:20:02 +00:00
netgen-lvs
ngspice_rework
nvc
opencascade multimedia/dav1d: update to 1.3.0 2023-10-05 18:36:06 +02:00
opencascade740
openctm
openfpgaloader
openroad
openscad
openscad-devel
opentimer
openvsp cad/openvsp: update to 3.36.0 2023-10-30 13:42:20 +01:00
oregano
p5-GDS2
p5-Verilog-Perl
padring
pcb
pcb-rnd
pdnmesh */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
PrusaSlicer
py-amaranth
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize
py-ezdxf
py-gdspy
py-gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
py-gmsh
py-lcapy
py-phidl
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh
py-pymtl
py-pyvcd
py-vunit-hdl
python-gdsii
qcad
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech
qflow
qmls
qrouter
qspeakers
qucs-s cad/qucs-s: update 2.0.0 → 2.1.0 2023-10-29 10:24:07 -07:00
qucsator
repsnapper
rubygem-gdsii
scotch
silice
solvespace
sp2sp
spice
stepcode
stm32flash
sumo
surelog cad/surelog: update 1.76 → 1.77 2023-10-31 21:29:17 -07:00
svlint lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
svls lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
sweethome3d
tkgate
tochnog */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
uhdm cad/uhdm: update 1.76 → 1.77 2023-10-31 21:29:17 -07:00
uranium
verilator cad/verilator: Add comment 2023-11-01 15:46:46 -07:00
verilog-mode.el
veroroute
veryl lang/rust: Bump revisions after 1.73.0 2023-10-24 12:22:32 +02:00
xcircuit
xyce */*: bump portrevision to chase CHOLMOD’s upgrade 2023-10-31 15:07:33 +01:00
yosys cad/yosys: Move bash to RUN_DEPENDS 2023-10-06 22:08:17 -07:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00
yosys-systemverilog
z88
zcad
Makefile cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00