freebsd-ports/cad
2023-09-02 15:18:00 +02:00
..
abc cad/abc: Update g20200322 -> g20220920 2022-10-09 12:02:15 -07:00
admesh cad/admesh: update to 0.98.5 2022-11-23 07:28:54 +01:00
adms Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
alliance bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
antimony cad/antimony: New port: CAD from a parallel universe 2023-01-16 13:07:26 -08:00
appcsxcad */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
archimedes Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
astk-client
astk-serveur all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
atlc Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
brlcad cad/brlcad: fix build on powerpc64 2023-08-29 14:25:26 +00:00
calculix cad/calculix: Fix build on 14 2023-08-19 04:52:47 +02:00
calculix-ccx cad/calculix-ccx: Update to 2.21 2023-08-04 08:12:42 +02:00
camotics devel/re2: Update to 20230301 2023-05-03 03:58:21 +08:00
caneda Mk/Uses: always use colon for build/run suffix 2022-09-11 12:20:14 +02:00
cascade Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
cascade-compiler Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
chipvault Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
csxcad */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine devel/protobuf: Update to 3.21.7 2022-10-09 23:37:51 +08:00
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
dinotrace editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
ecpprog Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
electric cad/electric: Fix build with llvm16 2023-07-26 17:04:03 +02:00
electric-ng Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
fasm Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
fdm_materials Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
feappv *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fidocadj Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
freecad cad/freecad: update to 0.21.1 2023-09-02 15:18:00 +02:00
freehdl *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fritzing cad/fritzing: Fix build with llvm16 2023-07-26 11:40:12 +02:00
gds3d cad/gds3d: Fix build breakaga on 14 due to the 'byte' symbol collision 2023-07-18 07:21:39 -07:00
gdscpp cad/gdscpp: New port: C++ library to create and read GDSII file 2023-03-02 15:19:12 -08:00
gdsreader
gdstk cad/gdstk: New port: C++ library for creation and manipulation of GDSII and OASIS files 2023-08-28 01:47:12 -07:00
gdt Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
geda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
gerbv accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
ghdl *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gmsh */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
gnucap Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
gplcver Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
graywolf *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gspiceui Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
gtkwave cad/gtkwave: Update to 3.3.117 2023-08-17 18:11:06 +01:00
horizon-eda cad/horizon-eda: Replace USE_CXXSTD with the patch provided by upstream 2023-07-10 17:26:20 -07:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
irsim cad/irsim: Update to 9.7.116 2022-12-27 20:05:07 +00:00
iverilog cad/iverilog: Update 11.0 → 12_0 2023-04-18 08:55:15 -07:00
jspice3 Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
klayout Mk/**ruby.mk: Switch from USE_RUBY=yes to USES=ruby 2023-01-14 17:08:33 -06:00
ktechlab devel/kf5-extra-cmake-modules: add as build dependency 2023-08-15 23:17:14 +02:00
ldraw Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
ldview cad/ldview: Fix build with llvm16 2023-08-05 13:53:19 +02:00
leocad cad/leocad: Update 21.06 → 23.03 2023-03-20 20:32:15 -07:00
lepton-eda */*: adapt to new guile framework 2023-07-31 01:59:04 +03:00
libgdsii cad/libgdsii: New port: C++ library and command-line utility for reading GDSII geometry files 2022-11-19 22:22:40 -08:00
libopencad cad/libopencad: fix build on armv? / powerpc 2023-03-13 00:02:41 +00:00
librecad cad/librecad: Update to 2.2.0.2 2023-07-30 16:15:29 -04:00
libredwg all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
librepcb Mk/Uses: always use colon for build/run suffix 2022-09-11 12:20:14 +02:00
librnd *: Rename GTK+ to GTK 2023-08-26 21:03:32 +00:00
logisim Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
magic all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
meshdev cad/meshdev: Fix build with llvm16 2023-07-20 12:22:39 +02:00
meshlab cad/meshlab: Fix build with llvm16 2023-07-21 17:41:46 +02:00
netgen cad/netgen: Update to 6.2.2304. 2023-07-09 17:19:51 -05:00
netgen-lvs cad/netgen-lvs: update 1.5.255 → 1.5.256 2023-08-29 00:32:46 -07:00
ngspice_rework cad/ngspice_rework: fix packaging 2023-01-09 22:21:04 +01:00
nvc cad/nvc: Update 1.10.1 → 1.10.2 2023-08-21 00:43:09 -07:00
opencascade cad/opencascade: fix a bad symlink. 2023-07-20 18:14:07 +02:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
openfpgaloader cad/openfpgaloader: Update 0.10.0 → 0.11.0 2023-08-03 00:02:05 -07:00
openroad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
openscad */*: bump all direct Boost cunsumers 2023-04-27 21:25:55 +03:00
openscad-devel *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
opentimer cad/opentimer: Update g20210726 → g20221116 2023-07-23 01:50:36 -07:00
openvsp cad/openvsp: update to 3.35.3 2023-08-04 08:16:06 +02:00
oregano cad/oregano: Fix build with llvm16 2023-08-05 21:47:29 +02:00
p5-GDS2 Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
p5-Verilog-Perl Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
padring Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
pcb accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
pcb-rnd cad/pcb-rnd: Fix build on 14 2023-08-18 09:53:31 +02:00
pdnmesh *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
PrusaSlicer devel/onetbb: Update to 2021.10.0 2023-08-21 12:09:23 +02:00
py-amaranth cad/py-amaranth: New port: Amaranth hardware definition language 2023-07-28 00:45:19 -07:00
py-cocotb all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-edalize all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ezdxf all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdstk cad/py-gdstk: New port: Library for creation and manipulation of GDSII and OASIS files 2023-08-28 09:53:22 -07:00
py-gmsh cad/py-gmsh: fix build on powerpc64 2023-08-13 16:48:47 +02:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ocp all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyvcd cad/py-pyvcd: New port: Python VCD file support 2023-07-27 23:03:50 -07:00
py-vunit-hdl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: Fix build with llvm16 2023-08-06 22:30:17 +02:00
qcsxcad */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
qelectrotech cad/qelectrotech: Update to 0.9.0 2023-01-07 13:42:46 +03:00
qflow *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
qmls
qrouter cad/qrouter: Update 1.4.85 → 1.4.86 2023-03-14 22:15:50 -07:00
qspeakers cad/qspeakers: Update to upstream release 1.6.8 2023-07-14 15:21:54 +02:00
qucs-s cad/qucs-s: Update 1.0.0 → 2.0.0 2023-08-21 12:14:50 -07:00
qucsator Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
repsnapper accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
rubygem-gdsii Mk/**ruby.mk: Switch from USE_RUBY=yes to USES=ruby 2023-01-14 17:08:33 -06:00
scotch *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
silice *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
solvespace cad/solvespace: drop unused variable after 2c672a4de9 2023-05-21 01:03:56 +00:00
sp2sp accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
spice */*: Fix build with llvm16 on 13.2-STABLE 2023-07-26 02:48:20 +02:00
stepcode cad/stepcode: update to 0.8.1 2022-10-06 10:48:16 +02:00
stm32flash Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
sumo */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
surelog cad/surelog: update 1.73 → 1.74 2023-09-01 01:26:24 -07:00
svlint cad/svlint: Update 0.8.0 → 0.9.0 2023-08-10 00:07:55 -07:00
svls lang/rust: Bump revisions after 1.71.0 2023-07-17 17:58:38 +02:00
sweethome3d cad/sweethome3d: update Sweet Home 3D to version 7.1 2023-03-06 04:31:24 +00:00
tkgate Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
tochnog cad/tochnog: Fix build with llvm16 2023-07-26 11:40:11 +02:00
uhdm cad/uhdm: update 1.73 → 1.74 2023-09-01 01:26:24 -07:00
uranium framework: convert tree to use USES=pycryptography 2023-07-22 09:46:38 +02:00
verilator cad/verilator: Update 5.012 → 5.014 2023-08-07 18:15:57 -07:00
verilog-mode.el editors/emacs: Update to 29.1 2023-08-20 09:53:11 +09:00
veroroute cad/veroroute: Update 2.31 → 2.36 2023-06-04 14:27:28 -07:00
veryl lang/rust: Bump revisions after 1.71.0 2023-07-17 17:58:38 +02:00
xcircuit Remove WWW entries moved into port Makefiles 2022-09-07 23:58:51 +02:00
xyce cad/xyce: update 7.6.0 → 7.7.0 2023-08-30 11:12:32 -07:00
yosys cad/yosys: Update 0.31 → 0.32 2023-08-08 01:54:39 -07:00
yosys-systemverilog cad/yosys-systemverilog: Broken 2023-07-28 23:39:15 -07:00
z88 cad/z88: Fix build with llvm16 2023-07-26 19:47:06 +02:00
zcad editors/lazarus: Bump PORTREVISION of dependant ports 2023-03-16 18:56:22 -05:00
Makefile cad/py-cadquery: Remove expired port 2023-09-01 19:16:16 +02:00