Commit graph

489 commits

Author SHA1 Message Date
dmcmahill
231ebb4c4f Import electric-6.05
-----

Electric is a sophisticated electrical CAD system that can handle
many forms of circuit design, including:
     Custom IC layout (ASICs), Schematic drawing, Hardware description
     language specifications, Electro-mechanical hybrid layout

Electric has these CAD operations:
     Design rule checking (3 options), Electrical rule checking,
     Simulation and simulation interface (12 options), Generation (3 options),
     Compaction, Compensation, Routing (4 options), VHDL compilation,
     Silicon compilation, Network consistency checking (LVS),
     Logical Effort analysis, Project Management

Electric handles these types of design:
     MOS (6 CMOS variations, 1 nMOS variation), Bipolar and BiCMOS,
     Schematics and printed circuits, Digital filters, Temporal logic, Artwork

Electric handles these file formats:
     CIF I/O, GDS I/O, EDIF I/O, DXF I/O, SDF Input,
     SUE Input, VHDL I/O, Verilog Output, EAGLE, PADS, and ECAD Output,
     PostScript, HPGL, and QuickDraw output
2002-03-13 01:39:18 +00:00
fredb
2f53857f29 Generalize the handling for packages where "fetch" and "fetch-list"
only emit a message and don't actually fetch anything. This allows
us to make the output of "fetch-list" for these packages consistent
with other packages.

While we're in here, integrate DYNAMIC_MASTER_SITES with the
${ORDERED_SITES} macro. The only functional change here is that
${MASTER_SITE_OVERRIDE} is now respected. Still to do -- something
appropriate for "fetch-list" for these packages, like sourcing
"getsites.sh" into the generated script. (Well, "package", but there
are two others that do something similar in their "Makefile".)

Also eliminate the misbegotten _FETCH_ALLFILES macro -- now that only
"fetch" uses it, move it's functionality directly under "do-fetch".
2002-03-04 19:41:03 +00:00
jlam
a199bd121b * Strongly buildlinkify to handle readline wierdness.
* Don't declare a bunch of extern functions that are already declared by
  system headers on NetBSD.
  XXX This change may be incorrect for non-current systems.
2002-02-27 17:14:28 +00:00
fredb
1ad434a2a7 Wherever "make fetch" simply echos a message, let "make fetch-list|sh"
echo the message, too.
2002-02-26 21:28:47 +00:00
seb
66111c6d15 Introduce new framework for handling info files generation and installation.
Summary of changes:
- removal of USE_GTEXINFO
- addition of mk/texinfo.mk
- inclusion of this file in package Makefiles requiring it
- `install-info' substituted by `${INSTALL_INFO}' in PLISTs
- tuning of mk/bsd.pkg.mk:
    removal of USE_GTEXINFO
    INSTALL_INFO added to PLIST_SUBST
    `${INSTALL_INFO}' replace `install-info' in target rules
    print-PLIST target now generate `${INSTALL_INFO}' instead of `install-info'
- a couple of new patch files added for a handful of packages
- setting of the TEXINFO_OVERRIDE "switch" in packages Makefiles requiring it
- devel/cssc marked requiring texinfo 4.0
- a couple of packages Makefiles were tuned with respect of INFO_FILES and
  makeinfo command usage

See -newly added by this commit- section 10.24 of Packages.txt for
further information.
2002-02-18 15:14:00 +00:00
skrll
08bdd44549 mkdir -> ${MKDIR}
rmdir -> ${RMDIR}
rm -> ${RM} (${RM} added to PLIST_SUBST)
chmod -> ${CHMOD}
chown -> ${CHOWN}
2002-02-15 10:12:28 +00:00
dmcmahill
65566eac86 update to dinotrace-9.1g from 9.1d
Changes in Dinotrace 9.1g  01/24/2002
***     Reread all traces on receiving a USR1 signal.  [Uwe Bonnes]
****    Allow value searches on one-bit signals.  [Vitaly Oratovsky]

Changes in Dinotrace 9.1f  01/08/2002
***     Let right button terminate Zoom click.  [Uwe Bonnes]
****    Fixed Emacs 21.0 incompatibility with back-annotation.
****    Hacked around bug causing window manager crash when
        using Examine inside Zoom.  [Uwe Bonnes]

* Changes in Dinotrace 9.1e  11/16/2001
***     Allow 1-bit wide signals to have statenames.  [Dominik Strasser]
***     Eliminate common prefix from postscript dumps.  [Dominik Strasser]
***     Show count of posedges and negedges in value examine.
2002-02-10 22:06:15 +00:00
dmcmahill
f549d3fca1 update to 0.0.7
What's new in 0.0.7
- Aperture macros!
- Improved detection of drill- or gerber file.
2002-02-10 17:48:59 +00:00
dmcmahill
51cc1f3f79 update to verilog-0.6
WHAT'S NEW SINCE 0.5?

Quite a lot. Innumerable bugs have been fixed, and standards coverage
has been improved significantly. Warning and error messages have been
improved, and so has compile performance. Gate delays, strength
modeling, and floating point delays have all improved since the 0.5
release. If you had trouble with the 0.5 release, the 0.6 release
probably fixes your problem.

Support for large designs spanning multiple files has been improved
dramatically. The usual preprocessor inclusion method still works, but
The 0.6 release adds command files for keeping source file lists, and
automatic library searches for missing modules. The library mechinisms
are compatible with commercial tools, and commercial module libraries
can be used with Icarus Verilog.

Many compiler limitations related to the size and complexity of large
designs have been relaxed or eliminated. There are no known design
size limitations remaining in the compiler. Icarus Verilog should be
able to handle any design that you have the patience to compile.
2002-02-08 01:48:31 +00:00
skrll
9bd4180d57 /bin/mkdir -> ${MKDIR}.
Make the print-PLIST target output ${MKDIR} also.
2002-02-05 22:39:00 +00:00
skrll
015c2e40b9 Don't hardcode /usr/X11R6 when making directories or running X based
programs such as mkfontdir use ${X11BASE} instead.

Also pick up a couple of /bin/chmod -> ${CHMOD}s
2002-02-05 22:03:54 +00:00
dmcmahill
052b213245 update to ngspice-14
A pkgsrc specific change is that it no longer conflicts with the
cad/spice package allowing both to be installed.


From the NEWS file:

This is a major release in terms of bug-fixes. Some enhancements
have been included: BSIM4 model and support for EKV model. The
source code for the latter must be obtained from EKV web site
(see DEVICE for more info). To enable EKV support you have
to obtain the code first and then use the configure switch
"--enable-ekv".
2002-01-26 02:38:30 +00:00
wiz
b788205699 Weakly buildlinkify. 2002-01-21 21:44:34 +00:00
dmcmahill
a4bd69521a update to verilog-current-20020112
many many changes since the last packaged snapshot.

A brief sampling of the changes (which include many bug fixes and
enhancements) is:

A variety of little problems with $display format strings have been
fixed.

The % operand should now simulate properly. Also, the * operator is a
little bit more optimized, and works in constant expressions.

Several bugs in strength modeling have been fixed. This includes drive
strengths on continuous assignments, which in the past generated code
without the strengths. Also, vvp gained some missing support for
constants with strength. I think that strength modeling is now
complete.

vpi_get_vlog_info support has been added to the vvp run-time. This is
a PLI function that allows access to run-time command flags. Also, vpi
access to root modules now works properly.
2002-01-16 19:33:18 +00:00
dmcmahill
2470ba19c1 update to 0.0.6.
changes since 0.0.5:

- Turn on and off explicit layers.
- Color on button reflect color on layer.
- Automatic detection of drill- or gerber file.
- Tooltips over buttons to reflect loaded filename.
- Handles Polygon Area Fill
- Major rehacking of file IO and pan code to significantly
  increase speed.
- Autoscaling. Loaded gerber files are automagically scaled and
  panned to fit in window. Also possible to do with loaded files
  with Zoom/Fit meny option.
- configure.in enhancement to support package building in Red Hat.
  Thanks to Wojciech Kazubski for patch.
- bzero changed to memset, which hopefully is more POSIX (for portability).
- Loads of bugs squashed and hopefully fewer added.
2001-12-15 22:04:18 +00:00
dmcmahill
36ce7249f8 update to verilog-current-20011209 snapshot.
Many changes since the last packaged snapshot.  A sampling of these are:

Support for hierarchical names has been largely rewritten. The major
consequence of this is that escaped names now have much better
support. By now, most any combination of escaped and hierarchical name
should work properly, for nets, parameters, and anything else.

Output delays for primitive gates, including user defined primitivies,
should now work properly. Delays on nets still do not work, although
the parser now parses them and prints a "sorry" message.

Bugs in support for division(/) and modulus (%) have been fixed.

Bugs in l-values of synthesized DFF devices have been fixed. These
bugs were related to part selects of vectors in l-values.

A few XNF code generator bugs and limitations were fixed.

And as usual, a variety of miscellaneous bugs have been fixed in this
snapshot.

The bit size of the results of some unary redunction operators is now
properly handled. Also, similar problems with logical functions have
been fixed.

force/release now works for variables, though not yet for
nets. Assign/deassign already work.

many other bugfixes
2001-12-15 18:43:37 +00:00
jmc
e2047131d8 If this is personal use only and requires an account/pw to download it really
needs a LICENSE set to no-redistribution to flag it
2001-12-07 21:02:18 +00:00
hubertf
5542206cba Get rid of manually adding "nbX" to PKGNAME when a pkg was changed in
pkgsrc. Instead, a new variable PKGREVISION is invented that can get
bumped independent of DISTNAME and PKGNAME.

Example #1:
        DISTNAME=       foo-X.Y
        PKGREVISION=    Z
     => PKGNAME=        foo-X.YnbZ

Example #2:
        DISTNAME=       barthing-X.Y
        PKGNAME=        bar-X.Y
        PKGREVISION=    Z
     => PKGNAME=        bar=X.YnbZ (!)

On subsequent changes, only PKGREVISION needs to be bumped, no more risk
of getting DISTNAME changed accidentally.
2001-11-29 01:12:24 +00:00
jlam
96904a0049 Buildlinkify. 2001-11-28 05:20:38 +00:00
dmcmahill
1eba7021f5 add and enable gerbv, gnucap, and mcalc. 2001-11-15 04:00:22 +00:00
dmcmahill
69c199540d initial import of mcalc.
Mcalc is a JavaScript based calculator for  accurate microstrip
transmission line analysis and synthesis.

The electrical parameters may be determined from specified physical
parameters, or the physical parameters required to meet a given set of
electrical parameters may be found.

Much attention has been given to making mcalc the most accurate online
based calculator short of a full electromagnetic simulation.
2001-11-15 03:10:45 +00:00
dmcmahill
868355cf4d initial import of GnuCap
GnuCap is a general purpose circuit simulator.  GnuCap was
formerly known as ACS.  GnuCap performs nonlinear
dc and transient analyses, fourier analysis, and ac analysis
linearized at an operating point.  It is fully interactive and
command driven.  It can also be run in batch mode or as a server.
The output is produced as it simulates.  Spice compatible models
for the MOSFET (level 1-7) and diode are included in this
release.

Since it is fully interactive, it is possible to make changes and
re-simulate quickly.  The interactive design makes it well suited
to the typical iterative design process used it optimizing a circuit
design.

Unlike Spice, the engine is designed to do true mixed-mode
simulation. Most of the code is in place for future support of
event driven analog simulation, and true multi-rate simulation.

If you are tired of Spice and want a second opinion, you want to
play with the circuit and want a simulator that is interactive,
you want to study the source code and want something easier to
follow than Spice, or you are a researcher working on modeling
and want automated model generation tools to make your job easier,
try GnuCap.
2001-11-15 02:58:50 +00:00
dmcmahill
1eafdd7033 initial import of gerbv.
Gerber Viewer (gerbv) is a viewer for Gerber files. Gerber files
are generated from PCB CAD system and sent to PCB manufacturers
as basis for the manufacturing process.

The different layers of the PCB are separated into different files.
gerbv can load all files at the same time, though it can not show
them at the same time. You have to browse through the different layers
with the radio buttons on the right side.
2001-11-15 01:57:58 +00:00
zuntum
37637e483f Move pkg/ files into package's toplevel directory 2001-11-01 00:47:39 +00:00
zuntum
083a2ea5b8 Oops, forgot to cvs add these 2001-10-31 20:30:59 +00:00
zuntum
b427eb87ce Move pkg/ files into package's toplevel directory 2001-10-31 20:24:14 +00:00
jlam
a4bc16d30c I am a triple idiot. The only relevant variable that x11.buildlink.mk
redefines about which buildlink.mk files would care is BUILDLINK_X11_DIR,
which points to the location of the X11R6 hierarchy used during building.
If x11.buildlink.mk isn't included, then BUILDLINK_X11_DIR defaults to
${X11BASE} (set in bsd.pkg.mk), so its value is always safe to use.  Remove
the ifdefs surrounding the use of BUILDLINK_X11_DIR in tk/buildlink.mk and
revert changes to move x11.buildlink.mk before the other buildlink.mk files.
2001-10-24 22:10:43 +00:00
dmcmahill
3be1024b8f update to verilog-current-20011020.
changes since last snapshot include:

- addition of a fpga target for synthesis.  outputs edif, optimized for
  xilinx virtex parts.
- fixed bug with synthesis of !=
- fixed bug in hex constant parsing
- fixed vvp bug with subtracting very wide words
- much improved VCD output
- many other bug fixes and robustness improvements.
2001-10-24 12:27:11 +00:00
jlam
dff59f9ec3 x11.buildlink.mk needs to be included before any buildlink.mk files that
use X11_BUILDLINK_MK as a test value.  Generally just reordering the
inclusions so that x11.buildlink.mk comes before the other buildlink.mk
files will make everthing work.
2001-10-23 13:14:43 +00:00
dmcmahill
23cde2ddc8 update to gwave-20011020
New in 20011020:
- better measurement: value at both cursors or difference in values at cursors
- enhanced handling of log scales
- yet more file-reading improvements and general bug fixes
2001-10-23 01:39:16 +00:00
jlam
fe3b75ef44 Build uses perl to generate some important headers. 2001-10-17 02:23:19 +00:00
jlam
f79573370a Mechanical changes to 375 files to change dependency patterns of the form
foo-* to foo-[0-9]*.  This is to cause the dependencies to match only the
packages whose base package name is "foo", and not those named "foo-bar".
A concrete example is p5-Net-* matching p5-Net-DNS as well as p5-Net.  Also
change dependency examples in Packages.txt to reflect this.
2001-09-27 23:17:41 +00:00
jlam
59e85b2d0e Use x11.buildlink.mk instead of USE_X11. 2001-09-12 02:27:55 +00:00
agc
a16fc84f19 Deprecate NO_WRKSUBDIR, replacing it with an explicit assignment of:
WRKSRC= ${WRKDIR}

This is much cleaner, much more indicative of what happens, and removes
another of the negative definitions (NO_.* = value).
2001-09-09 20:36:07 +00:00
jlam
bee9f3eafb Use mk/motif.buildlink.mk instead of lesstif/buildlink.mk. 2001-09-08 19:55:39 +00:00
dmcmahill
106120df5c update to cascade-1.4
Changes include:

- add the ability to specify gain in terms of voltage gain _or_ power gain
- add input/output resistance keywords
- add defaults keyword to allow users to change program defaults on the fly
- the cascade-mode for emacs now works for fontlock
- add voltage output levels in addition to the power levels
- add a verbose style comment (ie, one which gets copied to the output file
  instead of being simply ignored).
- new homepage and master ftp site.

The previous version had no known bugs.  Hopefully this one won't either.
2001-09-06 22:58:37 +00:00
jlam
63fc151cb9 Use x11.buildlink.mk instead of USE_X11. Also convert hard-coded references
to ${X11BASE} in the header and library search paths into references to
${LOCALBASE}/share/x11-links.  These packages should now be strongly-
buildlinked regardless of whether xpkgwedge is installed.

Changes well-tested on NetBSD-1.5X/i386 with and without xpkgwedge and
lightly-tested on NetBSD-1.5.1/alpha without xpkgwedge.
2001-08-29 22:41:00 +00:00
jlam
a17239c066 Move per-package default XAW_TYPE setting above the inclusion of
bsd.prefs.mk so that it is actually used.  Where possible, include
xaw.buildlink.mk instead of setting USE_XAW, and use LIBXAW where needed.
2001-08-23 04:26:51 +00:00
jlam
f24e95b86e Add ${LIBGETOPT} to LIBS after change to libgetopt/buildlink.mk. 2001-08-22 02:16:31 +00:00
dmcmahill
0bdc96ae11 update to verilog-0.5
* The Big Change: VVP

Past versions of Icarus Verilog performed simulation by compiling the
Verilog design to intermediate C++ code, then in turn compiling that
C++ (usually with G++) to a binary executable. This program was then
executed to actually run the simulation.

The 0.5 compiler, however, uses a custom internal language called
"vvp." The vvp code generator writes a program in the vvp language
that the vvp interpreter executes. This gets runtime performance
similar to the older vvm method, but compile times are much faster.

The result of this change is that there is a new program, ``vvp'',
that is installed with the existing ``iverilog'' compiler. This
program actually executes the simulation generated by the vvp code
generator.

There are manual pages for the iverilog command and the new vvp
command, as well as a QUICK_START document to help you run your first
simulation.

* What Else Is New

The compiler itself is now a lot more robust. While it still does not
compile and understand the entire IEEE1364 standard, the compiler is
less likely to crash on bad input, gives better error messages, and
has generally been cleaned up.
2001-08-04 01:20:43 +00:00
dmcmahill
b7cd07e7d7 update to libgeda-20010708
this represents nearly a year and a half of bug fixes and enhancements to
numerous to list here.
2001-07-17 03:06:27 +00:00
dmcmahill
612827cba6 update to geda-symbols-20010708
adds many many more parts and fixes some bugs.
2001-07-17 03:05:01 +00:00
dmcmahill
6b4d04d5b2 update to geda-utils-20010708
this represents nearly a year of bugfixes.
2001-07-17 03:03:57 +00:00
dmcmahill
b5224ccd82 update to gnetlist-20010708
this represents nearly a year and a half of bug fixes and enhancements
including some additional netlist types.
2001-07-17 03:02:51 +00:00
dmcmahill
10de104e58 update to gschem-20010708
this represents nearly a year and a half of bug fixes and enhancements to
numerous to list.
2001-07-17 03:01:09 +00:00
dmcmahill
39fffd0288 update to gsymcheck-20010708
mostly bugfixes to address compiler warnings.
2001-07-17 02:59:06 +00:00
dmcmahill
c0d976619a update to the 20010304 snapshot.
brings the documentation more in line with the programs.
2001-07-17 02:56:44 +00:00
dmcmahill
ce193d87f5 update this metapkg to the 20010708 snapshot.
This represents nearly a year and a half of bugfixes and enhancements too
numerous to list.
2001-07-17 02:55:03 +00:00
dmcmahill
4b03a419f7 update to acs-0.29
------------------

ACS 0.29 release notes  (06/30/2001)

The primary effort has been to implement IBIS, which is still not
done.  The changes here are mostly infrastructure changes needed to
support IBIS.


New features:

1. "Fit" function has choice of fit order and extrapolation.  You can
have order 0, 1, 2, or 3.

2. "Posy" has even and odd options, to determine what happens in the
negative region.

3. Modelgen improvements.  It now is useful for the whole device,
sometimes.  It now handles probes and the device side of the model.
The diode uses it completely.  There are still a few missing features
needed for the MOSFET and BJT.

4. Spice-3 compatible semiconductor resistor and capacitor.

5. "Table" model statement.


Improvements, bug fixes, etc.

1. Option "numdgt" really works.

2. Better error messages from modelgen.

3. Code changes for optimization of commons.  This should reduce
memory use, sometimes, by sharing commons.  Common sharing is still
not fully implemented.

4. Fix two bugs that sometimes caused problems after a "modify" or on
a "fault".

5. Better handling of "vmin" and "vmax".  It should be much less
likely that limiting causes convergence to a nonsense result.


Some things that are still partially implemented:

1. Internal element: non-quasi-static poly-capacitor.

2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
2001-07-05 12:01:32 +00:00
jlam
6c57490e71 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-07-03 20:54:15 +00:00
dmcmahill
1cf7435286 update to 20010630 snapshot.
changes are:
-----------
RELEASE NOTE FOR ICARUS VERILOG 20010630

I've done some cleanup of the mingw port of Icarus Verilog. I've also
added instructions for how to build Icarus Verilog under mingw. I'm
working on making that the preferred way to support Windows, and when
I make the 0.5 release I will make Windows binaries this way. Anyhow,
feedback on the build instructions and the build results using the
instructions in mingw.txt are welcome.

I've make "vvp" the default target type. The older vvm behavior is
available with the "-tvvm" flag to iverilog, but I would rather be
told about (and fix) bugs in the vvp code generator and run time.

I've added support for the (unsigned) right shift operator. The left
shift has been working for a while now, but right shift somehow
slipped through the cracks. The shift operators still don't quite work
in structural contexts, but they should show up sometime next week.

I've finally got VCD output working properly with vvp. It may even be
better then with vvm, although some internal symbols are still generated.

A few odd bugs have been fixed, including a code generation error for
xnf, and error checking of user defined function parameters.
2001-07-03 18:23:46 +00:00
dmcmahill
ad9c62d49f add a "quit" button.
bump to nb1.
2001-07-01 18:36:03 +00:00
zuntum
cde4b723b3 o use REPLACE_PERL instead of sed 2001-06-27 11:41:51 +00:00
jlam
5df6c35daf Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-06-26 19:54:48 +00:00
jlam
5c90289186 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. 2001-06-20 23:37:01 +00:00
jlam
89205d5689 Convert to use buildlink.mk files and mark as USE_BUILDLINK_ONLY. Set
USE_X11 instead of explicitly adding ${X11BASE}/lib to the LDFLAGS.
2001-06-20 01:49:10 +00:00
jlam
c4e71c5e7a CPPFLAGS is now passed to MAKE_ENV and CONFIGURE_ENV by bsd.pkg.mk, so
adapt by moving CPPFLAGS settings to top-level, and removing explicit
inclusion of CPPFLAGS into MAKE_ENV and CONFIGURE_ENV.
2001-06-11 06:34:17 +00:00
jlam
dbfde59b14 The buildlink include and lib directories are added to CFLAGS, CPPFLAGS,
CXXFLAGS, and LDFLAGS by the buildlink.mk files so remove the extra
definitions to add them from the package Makefiles.  As advised by the
bsd.buildlink.mk file, also ensure that the buildlink.mk files are
included prior to defining any package-specific CFLAGS/LDFLAGS to ensure
that the buildlink directories are at the head of the compiler search
paths.
2001-06-11 02:05:07 +00:00
jlam
3bc5e40254 Remove dependency on ${BUILDLINK_TARGETS} in pre-configure and pre-build
targets as the buildlink.mk files now add the dependency automatically.
Remove any NO_CONFIGURE definitions as they seem to be useless.
2001-06-10 00:08:41 +00:00
dillo
bf6758b9a0 updated to version 4.03e, old version no longer on server. 2001-06-05 16:49:09 +00:00
dmcmahill
5609f66ecc Update to dinotrace-9.1d
Changes are:

* Changes in Dinotrace 9.1d  5/24/2001
***     Fixed missing 0's in display of >64 bit numbers. [Amitvikram Rajkhowa]
***     Fixed stripping of characters after bus prefix.  [Steve Hoover]

* Changes in Dinotrace 9.1c  2/13/2001
***     Fixed Verilog reading ignoring the hiearchy separator. [Dominik Strasser]
2001-05-24 20:17:13 +00:00
jlam
54718a4db7 Standardize name of file to include for build-links to be "buildlink.mk".
Use BUILDLINK_INCDIR, BUILDLINK_LIBDIR for locations of linked headers
and libraries.  Create a variable BUILDLINK_TARGETS whose value is the
list of build-link targets to execute.
2001-05-24 08:53:54 +00:00
wiz
52e0b4900a Don't ignore checksums for three ps files, and add checksums and sizes
to distinfo.
2001-05-22 23:24:51 +00:00
jlam
e9c783b653 (1) Honor CFLAGS passed in from environment during build.
(2) Use devel/readline/Makefile.readline to get readline support, and note
    why GNU readline is required.
(3) Make this package work with xpkgwedge...the app-defaults file was
    always being installed under ${X11BASE}.
2001-05-22 16:48:59 +00:00
dmcmahill
e734091698 update to verilog-current-20010520.
many changes since the last snapshot.  Mostly they involve expanded
VVP support.  The VVP target now passes >200 of the tests from the
test suite.  While not as complete as the VVM target, VVP is getting
closer and its _much_ _much_ faster.
2001-05-21 22:25:19 +00:00
jtb
498e03b655 Don't override XAW_TYPE (use =? instead of =). 2001-05-13 14:34:08 +00:00
jtb
5614b8f2ff Add some defaults for EVAL_PREFIX. 2001-05-03 22:02:59 +00:00
jtb
bb88f133a5 USE_X11BASE since felt installs an app-defaults file. 2001-04-30 20:56:51 +00:00
jtb
3661630847 Don't add app-defaults file to PLIST, these pkgs don't install in X11BASE
yet install their defaults files there.
2001-04-30 14:48:45 +00:00
jtb
7185f416ea Change to SHA1 checksum. The distfile contains a fix without a corresponding
increase in version number.  From the XCircuit homepage:

	Note that the March 28, 2001 version corrects a bug due to
	dubious C syntax causing segmentation violations when xcircuit
	was compiled without the debug option.

	C Trivia question:
	What does  "x[a] = x[--a]" do?
	Answer A: "x[a] = x[a - 1]; a--"
	Answer B: "a--; x[a] = x[a]"
	Answer C: either A or B, depending on your OS, compiler version,
		  and/or debug or optimizer switch.
2001-04-29 15:15:16 +00:00
dmcmahill
6fb90697cd update missing distinfo file from update. Thanks to Thomas Klausner
for catching this one.
2001-04-28 03:45:05 +00:00
dmcmahill
b8e41cce2a update to verilog-current-20010422
Changes since the last packaged snapshot from the authors announcements:

Icarus Verilog snapshot 20010422
--------------------------------
I've integrated a bunch of UDP patches from Stephan Boettcher. These
go to the core of ivl, so if you use Icarus Verilog with UDPs, you
might want to give this a test for us.

Stephan has also added some ivl_target support for UDP devices. This is a
prerequisite to vvp support for UDP devices.

Some of you have been beating me over the head about disable, so the
vvp target now supports disable. It only works in certain very constrained
situations, but the idea is there and the more common cases are simply a
matter of getting around to them. I actually could use more examples of
the use of disable for the test suite.

In the process, I have settled on the interaction of threads and scopes,
and changed the %fork syntax to match. See the README.txt and opcodes.txt
file for details. The implementation of %end and %join simplified in
the process.

The vvp-tgt code generator supports a few more gate types. New gate
types are pretty easy to add, it's just boring grunt work. That's why
they've been popping up slowly.

I've also got certain behavioral shifts working. Only constant shifts,
so far, but this covers a pretty large percentage of the real world
uses of shift, I think.

I fixed a few specify block parse problems, so it should ignore
even more complex specify blocks now:-) One of these days I really will
properly support specify blocks.

PROGRESS

I was hoping to get vvp up to a similar level as vvm by the end of
April, but that doesn't look like it's going to happen. I'm up to 182
tests passed, compared to 318 of Icarus Verilog/vvm, so I have a ways
to go yet. I see no real point to making a release until I get up to
300 or so tests passed. That is the goal for 0.5 release.

But of course if vvp is enough for you, then it is soooo much faster
then vvm.

Icarus Verilog 20010415 Snapshot
--------------------------------
As with all the most recent snapshots, this is almost entirely progress
with the vvp code generator and simulation engine. I'm up to 159 tests
passed in the test suite, so I'm getting there. But there's still plenty
to go.

I also fixed what appeared to be a minor problem with elaboration of ?:
expressions in continuous assignments. The code was actually fine, it
was a spurious assert. This fix affects vvm as well.

Icarus Verilog/vvp now support <= statemements with internal delays.
That is, "foo <= #10 bar;" should work properly, and there are tests
in the suite that prove it. This is a pretty common syntax, so this
should help a lot of folks.

I also fixed a bug in the code generator that would cause it to put a
constant bit as a destination for the bitwise boolean operators. This
caused run-time asserts.

The event or support in vvp has been extended to now support arbitrary
width, so now you can for example wit for any changes in a 32bit reg.
This handles most of the likely cases, so @ statements should now be
pretty generally functional.

The handling of run-time threads has been revamped in preparation for
support of the disable statement. It also plugs a memory leak where
fork/join and task/function calls are invoked. And this version should
also clean up all those tiny initial foo=bar threads that all programs
seem to have. Threads that are done are now freed, along with their
memory, hopefully reducing the runtime memory footprint.

That's pretty much it this time 'round. Working with threads took some
time, so the progress isn't as flashy as it sometimes is.

There is still lots to do with vvp before 0.5, but I would appreciate
any feedback you can offer. It's complete enough already that I'm able
to accept bug reports on it, even if it turns out to be a "not supported
yet" type of thing. At this point, I'd be curious to know what hangups
are preventing its regular use.
2001-04-28 03:15:26 +00:00
dmcmahill
ec0a0d19f8 add and enable simian and simian-docs 2001-04-28 02:37:59 +00:00
dmcmahill
1b7477b2fd Initial import of simian-docs-2.1
User's guide for SIMIAN (Surface Impedance Method for Interconnect
Analysis).  The guide is in PDF format.
2001-04-28 02:37:22 +00:00
dmcmahill
0ccb07d3db initial import of simian-2.1
Surface Impedance Method for Interconnect Analysis.

SIMIAN is a two dimensional frequency dependent series
impedance extraction tool for inerconnects and transmission
lines using conductors of rectangular or circular cross section.

The use of the surface ribbon method (SRM) greatly enhances
the speed of computation relative to the volume filament
method (VFM).
2001-04-28 02:36:35 +00:00
jtb
10c92aa4ac Add missing "Velvet.ad" to PLIST. 2001-04-28 01:02:49 +00:00
rh
2aa84346c7 Update eagle to 4.01e. Notable changes include:
* Control Panel

   - The Control Panel now has a "Tree View" which provides an
     overview over all areas of EAGLE, like Libraries, User Language
     Programs, Projects etc.  The Control Panel's tree view supports
     "Drag&Drop" to copy or move files and directories.

   - Objects in the tree view have a context menu that can be
     accessed by pressing the right mouse button.

   - The menu option "Save project as..." is no longer available.

   - New projects can now be created via the context menu in the
     "Projects" tree item, or by selecting "File/New/Project" from
     the Control Panel.

   - The path settings in "Options/Directories" can now use the special
     names "$HOME" and "$EAGLEDIR" to access the user's home directory
     or the EAGLE installation directory, respectively.

   - The new "Auto backup" feature will automatically save any modified
     drawing into a safety backup file after a certain time.

 * New Project Structure

   - The names of files that are under the current project directory
     are no longer written as absolute paths into the 'eagle.epf' file,
     but rather relative to the project directory.  This allows for
     complete project directories to be easily copied or renamed.

   - A project is now held in a subdirectory that contains a file
     named 'eagle.epf' (which stores the location and settings of open
     windows).

 * User Interface

   - The textual command menu can now be configured to display
     aliased command buttons as well as submenus (see HELP MENU for
     details).

   - Changes made in the "Options/User interface" dialog now take effect
     immediately for open editor windows.

   - The cursor inside a layout or schematic editor window can now be
     set to a "large" crosshair cursor (see "Options/User interface").

   - The "Delete" icon was changed from a pencil with an eraser to
     an 'X'.

   - The "Split" icon was changed to better indicate what will happen.

 * Keyboard and mouse control

   - Alt-0 no longer popups up the window list, but leads directly to
     the Control Panel.

   - Pressing the Ctrl key while moving the mouse now scrolls the draw
     window in any direction.

   - The mouse wheel now zooms in and out in editor windows (zoom
     factor can be adjusted in "Options/User interface/Mouse wheel
     zoom", a value of '0' disables this feature and the sign of this
     factor defines the direction of the zoom operation).

 * Screen display

   - The default for "minimum visible text size" has been changed to 3.

   - The display mode parameter FAST has been dropped.

   - By default the zoom factor in editor windows is limited so that
     the resulting virtual drawing area does not exceed the 16-bit
     coordinate range.  This is necessary to avoid problems with
     graphics drivers that are not 32-bit proof. If the graphics
     driver on a particular system can handle coordinates that
     exceeed the 16-bit range, "Options/User interface/Limit zoom
     factor" can be switched off allow larger zoom factors.

 * Design Rules

   - EAGLE now supports a full set of Design Rules that are stored
     inside the board file (and can also be saved to disk files).
     Both the Design Rule Check and the Autorouter will use the
     same set of rules.

   - Newly created boards take their design rules from the file
     'default.dru', which is searched for in the first directory
     listed in the "Options/Directories/Design rules" path.

   - Cream mask values are now measured "inwards" and thus have a
     positive sign.

   - The parameters AnnulusConduct and ThermalConduct are no longer
     available. There are now checkboxes in the Design Rules dialog's
     "Supply" tab that define whether a Thermal or Annulus symbol
     shall have a "Restring" or not.

 * Net Classes

   - Nets and Signals now have a new parameter called "Net Class".

 * Polygons

   - When calculating polygons, the minimum distances defined in
     the design rules and net classes will be taken into account.

 * Design Rule Check

   - The DRC now runs a lot faster.

   - Progress is now displayed in a progress bar.

   - Polygons from different signals with the same 'rank' are checked
     against each other.

   - The 'overlap' and 'minimum distance' check are no longer separate
     checks.

   - The DRC no longer checks an individual signal against everything
     else. The newly introduced "Net Classes" can be used to do this.

   - The rectangle for a selective DRC can now be defined with
     "click&drag" (just as in the WINDOW command).

   - Holes are no longer checked in the "Grid" check (only pads, vias,
     smds and wires in signal layers are checked).

   - Any objects in signal layers within a package are now checked
     against each other.

 * Long strings

   - All names, values and texts can now be of any length.

   - The User Language constants regarding name lengths still exist,
     but the program uses these constants only for formatted output as
     in the EXPORT command. They are still present for compatibility
     only.

   - There is no more limit to the number of members in a bus (bus
     index values are limited to 0..511).

   - Bus member names can now contain any characters, except
     ':', ',', '[', ']' and blanks.

 * Wire styles

   - Wires now have a new parameter 'Style', which can be set to one
     of the following values:

                         Continuous      _______________  (default)
                         LongDash        ___ ___ ___ ___
                         ShortDash       _ _ _ _ _ _ _ _
                         DashDot         ___ . ___ . ___


   - The variable for setting the bend type of a wire has been renamed
     from Wires_Style to Wire_Bend to avoid confusing the two
     parameters.


 * Text fonts

   - Texts can now have three different fonts: 'Vector' the program's
     internal vector font (as used in previous versions)
     'Proportional' a proportional pixel font (usually 'Helvetica')
     'Fixed' a monospaced pixel font (usually 'Courier')

   - When updating drawings from older versions, all texts are
     converted to 'Proportional' font, except for those in layers
     Top...Bottom, tRestrict and bRestrict, since these texts probably
     need to be subtracted from signal polygons, which only works with
     the 'Vector' font.

   - The program makes great efforts to output texts with fonts other
     than 'Vector' as good as possible.  However, since the actual font
     is drawn by the system's graphics interface, 'Proportional' and
     'Fixed' fonts may be output with different sizes and/or lengths.


 * Pads and Vias

   - The diameter of pads and vias is now derived from the drill
     diameter using the Design Rules (the pad and via diameter '0' is
     now allowed and results in a diameter that is derived from the
     current design rules). If a pad is defined with a diameter that
     exceeds the one that would result from the current design rules,
     the larger diameter is taken.  The default value for the diameter
     of newly created pads and vias is now '0' to allow the Design
     Rules to define the actual diameters.

  - Pads can have different shapes on Top and Bottom (they will always
    be 'round' on the inner layers).

  - The via shape now only applies to the outer layers (they will
    always be 'round' on the inner layers).

  - The diameter of pads with shape X/YLongOct now defines the
    smaller side of the pad (formerly the wider side).  Existing
    files will be modified accordingly during the update.

  - By default vias no longer generate Thermal symbols in supply
    layers.


 * Round SMDs

   - SMDs have a new parameter "Roundness", which can range between
     0 and 100 and defines the percentage by which the corners are
     "rounded". A value of 0 (default) results in a rectangle, while
     a value of 100 results in a circular shape (if the x and y
     dimension of the SMD are the same), which can be used for BGAs.

   - The SMD command accepts roundness values as numbers with a
     leading '-' (to be able to distinguish it from the SMD size
     values).  The CHANGE command has a new option "Roundness".

 * New Library structure

   - What was called a "Device" in previous versions is now
     called a "Device Set". A "Device Set" consists of the gate
     definitions and several actual devices, implemented through
     "Package Variants"

   - The PACKAGE command can now assign several different package
     variants to a device (as in 7400N, 7400D,...).

   - The new command TECHNOLOGY can be used to define various
     "technology" variants for a device's package variants (as in
     74LS00N, 74S00N,...).

   - The CHANGE command has the new options PACKAGE and TECHNOLOGY,
     which can be used to select from the packages and/or technologies
     a device set defines. This can be done from within the schematic
     or board.

   - The new command DESCRIPTION can be used to provide detailed
     textual information about a device, package or library.

   - The CONNECT dialog now allows copying pin/pad connections from
     an other package variant. Only those package variants are offered
     in the "Copy from" combo box that have the same pad names as the
     current package variant (only connected pads are checked).

   - The CONNECT dialog now asks the user if he want's to discard
     any changes before cancelling the dialog.

   - The CONNECT command can now handle gate names that contain
     periods.

   - The device editor now displays a list of package variants, a
     preview of the current package and the description of the
     device.


 * Automatic Library update

   - If a library has been modified after parts or packages from it
     have been added to a schematic or board, the new command UPDATE
     can be used to automatically update all used library objects with
     their latest version (see "Help Update").

   - The UPDATE command can be selected from the "Library" pulldown
     menu in a board or schematic, or from the context menu of a
     library in the Control Panel. It is also possible to drag&drop
     a library from the Control Panel onto a schematic or board
     drawing and perform the update that way.


 * Bill Of Material

   - The User Language Program 'bom.ulp' to generate the "Bill Of
     Material" has been rewritten. It now has a dialog in which the
     user can interactively generate the BOM, pulling in additional
     data from a user defined database file. Use "RUN bom.ulp" and
     click on the "Help" button for more information.


 * Generating Outlines for milling prototypes

   - The User Language Program 'outlines.ulp' can be used to generate
     the data necessary to control a milling machine for generating
     a prototype board.


 * User Language

   - The User Language now supports user defined dialogs as well as
     standard file dialogs and message boxes.

   - The RUN command now accepts additional arguments that are
     available to the ULP as 'argc' and 'argv' parameters.

   - Data can now be read into a ULP.

   - The new lookup() function can be used to perform database
     lookups.

   - The new fileglob() function can be used to do a directory
     search.

   - The new fileerror() function can be used check for I/O errors.

   - The 'exit()' function can now have a string parameter which is
     sent to the editor window and executed as a command string.

   - ULPs can now include other ULP files with the new #include
     directive.


 * Script files

   - Script files can now call other scripts (as long as no
     recursive call is made).

   - Script files can now contain comments. Everything after
     (and uncluding) a '#' character will be ignored.

   - The 'eagle.scr' file is now first searched for in the current
     project directory (which is equal to the current working
     directory in case there is no project open) and then in the
     directories listed in the Control Panel's
     "Options/Directories/Scripts".


  * Autorouter

    - The Autorouter can now route "through" signal polygons (this
      can be controlled by the new cost factor 'cfPolygon').

    - The Autorouter control parameters are now stored inside the
      board file. They can be saved to and loaded from external files
      via the Autorouter dialog.  Existing control files will be
      automatically read and stored in the board file when updating
      files from previous versions.

    - The Autorouter and DRC now use the same set of Design Rules.

    - When saving Autorouter control parameters to disk, the minimum
      distance parameters are no longer part of that file.

    - There can now be any number of 'Optimize' passes. By default
      there are now 4 'Optimize' passes.

    - Each pass can be separately activated or deactivated.

    - The Autorouter can now route different wire widths and minimum
      distances simultaneously by using "Net Classes".

    - The minimum routing grid is now 0.02mm (about 0.8mil).

    - The default control parameters and the internal handling of
      cfChangeDir have been modified to avoid jagged tracks.



 * ADD command

   - The ADD command can now be used with wildcards ('*' or '?') to
     find a specific device. The ADD dialog offers a tree view of
     the matching devices, as well as a preview of the device and
     package variant.

   - To add directly form a specific library, the command syntax

                            ADD devicename@libraryname

     can be used. 'devicename' may contain wildcards and
     'libraryname' can be either a plain library name (like "ttl"
     or "ttl.lbr") or a full file name (like
     "/home/mydir/myproject/ttl.lbr" or "../lbr/ttl").

   - If a device or package shall be added, and there is already
     such an object (with the same name from the same library) in the
     drawing, an automatic library update will be performed which
     replaces the existing object in the drawing with the current
     version from the library.

   - The new command UPDATE can be used to update all parts in a board
     or schematic with modified library versions (see "Help Update").


 * CHANGE command

   - CHANGE LAYER for wires and polygons now works between any
     layers within packages and symbols.


 * CONNECT command

   - Pressing the SPACE key in the CONNECT dialog while a list element
     has the focus will now perform the 'connect' or 'disconnect'
     action, respectively.


 * DELETE command

   - If the last supply symbol of a given type is deleted from a net
     segment that has the same name as the deleted supply pin, that
     segment is now given a newly generated name (if there are no other
     supply symbols still attached to that segment) or the name of one
     of the remaining supply symbols.


 * DISPLAY command

   - The new parameters '?' and '??' can be used to control what
     happens if a layer that is given in a DISPLAY command does not
     exist in the current drawing. See "Help Display" for details.


 * GROUP command

   - If the selected group is empty, the GROUP command no longer
     displays a message box saying "Group is empty". It rather prompts
     that message in the status bar (with a beep) and stays active for
     a new group definition.


 * ERC command

   - The ERC now lists the package names when reporting parts/
     elements with inconsistent packages.

   - The ERC now detects inconsistencies between the implicit power
     and supply pins in the schematic and the actual signal
     connections in the board.

   - The ERC now checks for missing junctions and overlapping wires
     and pins.


 * ERRORS command

   - The ERRORS dialog is no longer modal (it stays "on top" of the
     editor window) and can be kept open while resuming normal
     editing in the editor window.  The various error types are now
     listed more detailed.


 * EXPORT command

   - The EXPORT can now export image files (BMP, PNG, etc.). See
     "Help/EXPORT" for details.


 * NET and BUS command

   - If a net wire is placed at a point where there is already
     another net or bus wire or a pin, the current net wire will be
     ended at that point (in previous versions the user had to click
     twice to end a net wire).


 * PASTE command

   - When pasting objects into a drawing that already contains earlier
     (different) versions of these objects, an automatic library
     update will be performed which replaces the existing objects in
     the drawing with the new versions from the paste buffer.


 * PRINT command

   - The PRINT dialog's "Page setup" now allows border values that
     are smaller than the initial values derived from the printer
     driver. To get back to the original default you can enter '0'.


 * REMOVE command

   - The REMOVE command can now handle device, symbol and package
     names with extension (for example REMOVE name.pac).


 * RENAME command

   - The RENAME command now allows '.' in names.

   - The RENAME command can now handle device, symbol and package
     names with extension (for example RENAME name1.pac name2[.pac]
     - note that the extension is optional in the second parameter).


 * REPLACE command

   - The REPLACE command can no longer be used with active forward-
     and backannotation.  This is due to the now complete definition
     of a device set with all its package variants. Use the CHANGE
     PACKAGE command to select one of the defined package variants,
     or use the UPDATE command to update a package with a modified
     version from the same library.

 * SET command

   - The SET options for Thermal and Annulus parameters as well as
     the Solder Stop and Cream mask data have been removed.

   - The SET variables DRC_SHOW and DRC_COLOR are now obsolete
     (progress in the Design Rule Check is now displayed in a
     progress bar).

   - The SET variable MAX_ERROR_ZOOM is now obsolete. The ERRORS
     dialog is no longer modal (it stays "on top" of the editor
     window) and zooming can be done with the usual WINDOW commands
     or buttons.


 * SHOW command

   - Highlighted objects are now kept highlighted during subsequent
     window operations.

   - Pressing ESCape in the SHOW command now lowlights the currently
     highlighted object.


 * USE command

   - The USE command is now mainly for use in script files.


 * CAM Processor

   - The CAM Processor no longer supports matrix printers. Use the
     PRINT command to print to the system printer.

   - The CAM Processor no longer prints sheets. Use the PRINT
     command instead.


 * Parameter storage

   - User specific parameters are now stored in an "eaglerc" file.
     At program start, parameters are read (in the given sequence)
     from the files

         prgdir/eaglerc
         /etc/eaglerc
         $HOME/.eaglerc

     where prgdir means the directory that contains the EAGLE program
     file.


 * Command line options

   - The options '-A' and '-T' are now obsolete (thermal and annulus
     data is now defined in the Design Rules).

   - The options '-B' and '-M' are now obsolete (solder stop and
     cream mask data is now defined in the Design Rules).

   - The option '-C' is now obsolete, since the CAM Processor no
     longer supports matrix printers (all printing is done with the
     PRINT command).

   - The options '-Z' and '-Y' are now obsolete (drill symbols are
     configured in "Options/Set/Drill" and are stored in the user
     specific "eaglerc" file).


 * Miscellaneous

   - The DOS and OS/2 platforms are no longer supported.

   - Due to changes in the file data structure you will most likely
     be asked whether to run the ERC when loading a board/schematic
     pair created with an earlier version of EAGLE.

   - Files from earlier versions of EAGLE may contain library objects
     with the same names. This was caused by PASTE or ADD operations
     with modified devices or packages. Version 4 no longer allows
     this to happen, and therefore needs to make sure updated files
     do not contain multiple objects with the same name. In order to
     assure this, the update routine adds the '@' character and a
     number to the names of such library objects.

   - The library editor can now edit devices and symbols, even if the
      user's license does not contain the schematic module.

   - Avoiding multiple 'Save?' prompts for boards and schematics that
     are connected via f/b annotation.

   - When a file is modified while updating from a previous version
     the resulting update report is now presented in a separate text
     window.
2001-04-26 19:31:04 +00:00
dmcmahill
06a12e13b1 add and enable mpac 2001-04-24 23:00:54 +00:00
dmcmahill
f9f817c82e Initial import of mpac-0.2.2
Microstrip Patch Antenna Calculator.  MPAC analyzes various parameters
including impedance and resonant frequency for a rectangular microstrip
patch antenna.
2001-04-24 23:00:26 +00:00
agc
d26f80ba43 Move to sha1 digests, and add distfile sizes. 2001-04-20 08:55:26 +00:00
agc
4681741c45 Move to sha1 digests, and add distfile sizes. 2001-04-19 16:26:55 +00:00
agc
18ea9c7e79 + move the distfile digest/checksum value from files/md5 to distinfo
+ move the patch digest/checksum values from files/patch-sum to distinfo
2001-04-17 10:22:24 +00:00
jtb
eb0cef2016 Change MAINTAINER section to packages@netbsd.org 2001-04-14 21:43:38 +00:00
dmcmahill
dccf28db5f update verilog-current to 20010407
changes since last snapshot are (from the authors email)


verilog-20010407
--------------------

Still more progress on the new VVP simulation engine:

As with last week, this snapshot includes a lot of work on the ivl_target
API in support of code generation for vvp. Also, the vvp execution engine
has progressed some.

In fact, vvp has grown up to understand signed vectors and some signed
expressions. The signed vectors are mostly for VPI use, the signed
comparison instructions actually do signed work. Case comparisons are
new, along with %and and %or instructions, and %nor/r for reduction.
I also added a few new gate types to the .functor support.

A bug in the propagation of values by %set instructions has been fixed.
Specifically, the %set instruction not only sets the value of the .var
that it references, but also executes the propagation events that result.
This fixed some event ordering bugs.

Some VPI support needed by system.vpi is added to vvp to allow it to
properly handle signed signals, decimal values, and a few other details.
$display should work much better then it did last week.

Back in the vvp.tgt code generator, lots of new stuff is happening.
Several of the bitwise binary operators have been added, as well as
more comparison operators. This includes handling of signed expressions.
This also implies that vvp.tgt generates the proper .net vs .net/s
and .var vs .var/s statements.

User defined functions and tasks are now working. In fact, the vvp
target probably handles more functions (in behavioral code) then the
vvm engine. I've received several bug reports about user defined functions
with loops, that don't work under vvm. These should work with vvp.

Non-blocking assignments now work, too.

All forms of case/casex/casez are supported by the code generator, and
use the proper compare instructions. Forever, Repeat and While loops
also work now. A few bugs in event handling, and all the edge types
(including behavioral triggers) should work with limitations. Event or
is still in the works, and any-edge of large vectors (>4 bits) does not
work.

*Whew!*

As you can see, a *lot* of stuff is happening. I'm up to passing 110+
tests in the regression test suite (Icarus Verilog/vvm passes 318 tests)
so the changes are actually making things work. Test and be merry!

verilog-20010331
--------------------
More and more progress on VVP. More and more snapshots.

A lot of work has been done to the ivl_target loadable target API.
This API is growing to support the also growing tgt-vvp target. I've
added support for case statements, event triggers fork blocks.

Of course this also means that the tgt-vvp code generator and the
vvp simulator now support constructs including case, events, and
parallel blocks.

I've also fixed up the driver to properly report errors that tgt-vvp
detect. This makes the test suite regression script work a lot better.

I'm up to more then 70 tests in the test suite passing. I'm finding
that writing the code generator for vvp assembly is a *lot* easier
then writing a code generator for C++/vvm. Fortunately, the vvp
assembler is pretty fast.

At any rate, the vvp simulation engine is starting to show signs of
being useful. It still does not cover nearly as much of Verilog as
vvm, but what it does cover is so much faster that it may be worth
your while to try it out. And more eyes looking at it can only be a
good thing.
2001-04-14 14:47:29 +00:00
wennmach
1006c76cc2 Use wildcards in CONFLICTS. 2001-04-11 13:36:19 +00:00
jtb
09a4ae8cb8 Decrease optimization. 2001-04-07 19:00:10 +00:00
dmcmahill
aaf127f232 update to verilog-current-20010324. Changes since the last version from
the authors announcement are:

There are a few bugs in the main compiler that are fixed. There has
also been an extension to the $fopen that adds support for opening
files for reading. The $fgetc has been added to take advantage of this.
This was done on the VPI side, although a slight extension to the mcd
functions was created.

The real news is the vvp simulation engine. I've added the tgt-vvp
code generator source and the vvp assembler/simulator, and the combination
actually produces the occasional working program. And it makes them
very quickly. So far as I can tell now, I am going to be very pleased
with the final outcome when this work is complete. However, it is not
at all ready to use. This snapshot is mostly to give a preview of things
to come to a wider audience.

HOW VVP WORKS

If you are accustomed to the existing vvm behavior, you remember that
the vvm simulator works by generating C++ and feeding that to the g++
compiler. Many of you are painfully aware of that.

VVP does *not* work like that. Instead of generating C++, the
generator emits assembly language for an abstract simulator processor.
The processor that the assembly targets doesn't really exist, but the
vvp program, included in this Icarus Verilog snapshot, assembles the
code to data structures in memory, then efficiently emulates the abstract
processor.

So the simulation of a program via vvp works by first compiling the
Verilog to vvp assembly. The vvp.tgt modules generates the code, and
is envoked when you use the ``-tvvp'' switch to iverilog.

The vvp assembly file so created is then passed to the vvp program to
be assembled and executed. There is a single vvp input file that is the
design to simulate. The vvp assembler is designed to execute the design
efficiently.

HOW TO LEARN MORE

The ivl_target.h header file describes the loadable target API that
the vvp code generator uses to gain access to the design. Then the
tgt-vvp directory contains the implementation of the vvp code generator.

The vvp directory contains the implementation of the assembler/simulator
that runs the compiled design. The README.txt file describes how the
vvp program works in general, and points to other txt files. There are
a variety of other .txt files in the vvp directory that describe how
the major components of the vvp program work.
2001-03-31 00:00:35 +00:00
hubertf
e32afb6fea Change BUILD_DEPENDS semantics:
first component is now a package name+version/pattern, no more
executable/patchname/whatnot.

While there, introduce BUILD_USES_MSGFMT as shorthand to pull in
devel/gettext unless /usr/bin/msgfmt exists (i.e. on post-1.5 -current).

Patch by Alistair Crooks <agc@netbsd.org>
2001-03-27 03:19:43 +00:00
jtb
0c49eb9ef0 Add and enable qcad. 2001-03-26 20:54:41 +00:00
jtb
a6b1f53dee New qcad package:
QCad is a simple 2D CAD System. With QCad you can easily construct and
modify  drawings  with  ISO-texts,  dimensions, hatches and many other
features and save them as DXF-files. These DXF-files are the interface
to many CAD-systems such as AutoCAD and many others.
2001-03-26 20:53:47 +00:00
dmcmahill
7d4013314f update to dinotrace-9.1b
While here, update MASTER_SITE.

changes from last packaged version:

* Changes in Dinotrace 9.1b  2/13/2001

****    Documentation updates.

* Changes in Dinotrace 9.1a  1/22/2001

**      Added analog waveform format.  [Dave Colson]
        Includes new Signal Waveform menu and signal_waveform command.

* Changes in Dinotrace 9.0m  11/21/2000

****    Fixed a bug reading compressed tempest on Digital UNIX. [Steve Hoover]
2001-03-09 11:38:33 +00:00
wiz
fdd1138d91 whitespace fixes 2001-02-28 10:33:52 +00:00
wiz
79a4bfc219 Not needed any more -- COMMENTs are in Makefiles now. 2001-02-16 15:52:49 +00:00
wiz
02e8ee6a47 Update to new COMMENT style: COMMENT var in Makefile instead of pkg/COMMENT.
While I'm here, unify category Makefiles to more standard style.
(If you have tools depending on the previous form, please fix them.)
2001-02-16 15:51:46 +00:00
wiz
2db9056f6e Update to new COMMENT style: COMMENT var in Makefile instead of pkg/COMMENT. 2001-02-16 13:41:26 +00:00
jtb
1151c23aad Fix problem from pkgsrc i386 bulk build. 2001-02-10 16:56:33 +00:00
dmcmahill
a11d7a44b8 -include a ton of missing header files for 64 bit machines. there remain
some 64 bit related compiler warnings to fix.

- while here, use libffm if on an alpha for faster math.  In this case
  20-30% faster.
2001-02-09 11:29:36 +00:00
dmcmahill
a1cae2143b make sure the -I search path has the correct order to avoid picking
up a possibly out of date installed header from ${LOCALBASE}/include.
Note that while we don't support installing a pkg when a previous version
is installed, we should still be able to build it.

Problem noted in private email from Lennart Augustsson.
2001-02-07 18:26:16 +00:00
wiz
bf3d7b780c Remove unnecessary message. 2001-02-06 17:28:57 +00:00
wiz
d4fce8d4e7 Unify format of MESSAGEs, and include RCS Ids. 2001-02-06 14:24:03 +00:00
dmcmahill
1c2773e731 update to verilog-0.4.
from the authors announcement:

So many things have changed since version 0.3 that there is no point
in listing them. There have been tons and tons of bug fixes and the
language coverage is better, and so on and so forth. It's just so very
much better then version 0.3:-)

speaking as a user, some of my personal favorites are:
- support for signed variables
- iverilog now gives correct return codes (which makes 'make' much happier)

for a more complete list, the commit messages for
pkgsrc/cad/verilog-current/Makefile contain the changes for each
development snapshot between verilog-0.3 and verilog-0.4
2001-02-04 15:36:49 +00:00
jtb
00fd566f1a Updated xcircuit to version 2.2.0. The schematic capture code is now
considered stable. Xcircuit now has the ability to pass parameters
to objects. For the moment, this only works with string parameters.
Integral parameters will be added in upcoming versions.
2001-01-19 23:24:33 +00:00
dmcmahill
6ab77acc42 update to verilog-current-20010113
bug fixes and signed support (yay!).

detailed changes since last packaged snapshot from the
authors announcements:

Icarus Verilog snapshot 20010113
--------------------------------
We're getting close to release candidates. Maybe another snapshot, then
I start with making 0.4 release candidates. So lets get this tested so
that I can move forward!

This snapshot largely fixes a whole bunch of bugs. I'm working feverishly
to catch up to the reported bugs, but they are coming in about as fast
as I can resolve them. (Not that I'm complaining, mind you. These PRs
are really helping me make it better.) But that means I'm going to just
concentrate on getting as many PRs done as I can before the release.

The syntax of functions and tasks has been expanded to allow parameters.
This is not a common thing to do, but someone puts parameters if functions

The syntax of functions and tasks has been expanded to allow parameters.
This is not a common thing to do, but someone puts parameters if functions
so I had a PR to fix:-) Also I caught a problem with executing functions
that take no input parameters. In fact, a bunch of function related bugs
were fixed. I lost track of em all.

Ports of tasks weren't elaborated properly. I fixed this and a few related
problems so most legal l-values should work as task port expressions now.
Memories within tasks should also work properly now.

Speaking of ports, I now report errors when there is a port direction
for module ports that don't exist. In fact, there are a whole bunch of
cases where I've added required error messages.

The %d format of display strings now displays signed negative values as
negative values instead of the unsigned equivalent. This goes along with
the other signed arithmetic features from the -2000 standard that are now
supported.

I fixed up the Makefiles (thanks to a contribution) to support build using
the VPATH capabilities of the makefile. You should be able to compile for
multiple targets now from a shared source directory.

Icarus Verilog snapshot 20010106
--------------------------------
I'm just managing to barely keep up with the bug reports arriving in
the bug tracking system. I'm pleased with the success of the ivl-bugs
robot. These bug reports have allowed me to better manage and record
progress. Keep those bug reports coming!

I've added support for arrays of integer and time variables, as well
as the Verilog 2000 initialization syntax for these types. I have also
made some internal changes to integer support. Integers are now just
a shorthand for ``reg signed [31:0]'' and signed variable support has
made some internal changes to integer support. Integers are now just
a shorthand for ``reg signed [31:0]'' and signed variable support has
been generalized. This makes it easier on the code generators, as they
do not need to know that a variable was a integer, a time, a foo or a bar.

I few constant propagation errors have been fixed. Continuous assignments
of constants to nets should behave correctly now.

Many people noticed lack of expression support for parameters. In fact,
the problem was a lack of support for a bunch of specific operators. I've
added many operators. This has the side effect of improving constant
propagation as well, in some cases finding more dead code to eliminate.
This also affected expressions that were index expressions of memories
and vector declarations.

The / operator in continuous assignment expressions is fixed. There were
a few size related issue here that are now fixed.

I've added to the i3331364-notes.txt file a clarification of my position
on parse of repeat statements. This position is compatible with XL behavior.

Passing parameters to user defined functions in behavioral code was pretty
darn broken. I managed to fix this. You can tell that not many people use
user defined functions:-)  (It doesn't help that Icarus Verilog is picky
about them.)

For vvm, I've put some effort into optimizing the compile time of programs
by reducing the size of the output code. I've reduced redundancies some,
and used loops to handle vectors where possible.

A few bugs related to $dumpvars were cleaned up. Function scopes work.
2001-01-14 19:01:13 +00:00
agc
9c2d582fc9 The way that shared objects were handled in the PLISTs and bsd.pkg.mk was
out of date - it was based on a.out OBJECT_FMT, and added entries in the
generated PLISTs to reflect the symlinks that ELF packages uses. It also
tried to be clever, and removed and recreated any symbolic links that were
created, which has resulted in some fun, especially with packages which
use dlopen(3) to load modules. Some recent changes to our ld.so to bring
it more into line with other Operating Systems also exposed some cracks.

+ Modify bsd.pkg.mk and its shared object handling, so that PLISTs now contain
the ELF symlinks.
+ Don't mess about with file system entries when handling shared objects in
bsd.pkg.mk, since it's likely that libtool and the BSD *.mk processing will
have got it right, and have a much better idea than we do.
+ Modify PLISTs to contain "ELF symlinks"
+ On a.out platforms, delete any "ELF symlinks" from the generated PLISTs
+ On ELF platforms, no extra processing needs to be done in bsd.pkg.mk
+ Modify print-PLIST target in bsd.pkg.mk to add dummy symlink entries on
a.out platforms
+ Update the documentation in Packages.txt

With many thanks to Thomas Klausner for keeping me honest with this.
2001-01-04 15:10:17 +00:00
dmcmahill
783ada5212 make sure the include and library paths are set to correctly find readline
headers and libraries.

fixes recently noted compile problems seen by Huberts bulk i386 builds.
2000-12-31 18:09:54 +00:00
dmcmahill
c85e75a058 fix DISTNAME. somehow got lost in upgrade 2000-12-28 16:59:44 +00:00
skrll
867554e424 Make sure that libraries can be found. Pick up in bulk build output. 2000-12-21 18:17:09 +00:00
wiz
89c7adbd82 Actually, there's no patch-ab here. Remove it from patch-sum file. 2000-12-21 12:35:02 +00:00
dmcmahill
cc2302da66 update verilog-current to 20001216.
Changes since the last packaged snapshot are (from the authors announcements):


Icarus Verilog snapshot 20001216
--------------------------------
This is the last snapshot before the holidays, so I hope it has your
favorite present in it. A lot of PR#s have been dealt with, and for a
brief moment I felt like I was getting ahead of the pending list:-)


I've added support for non-integer times, at least in a few contexts.
The `timescale directives should interact properly with the decimal
point in delays, causing more accurate timing simulations. This should
make vendor-supplied libraries work much better.

I've added support for signed reg variables. Signed expressions should
now generally do the right thing, but this feature needs much more testing,
and many more tests in the test suite. However, I do know that signed
comparisons should work properly. Bug reports for problems with signed
arithmetic are encouraged.

Many people have been having troubles with Cygwin compilation. The
problem was with the latest version of binutils. Venkat came up with a
solution that works with old and new binutils, so you can now compile
with the very latest cygwin software. This should make things a lot
easier for a lot of people.

I've made initial steps toward an HP/UX port. The configure script should
detect the right dl library to use, and the t-dll target should be able
to load loadable targets. I am looking for a volunteer to take responsibility
for the HP/UX port as I have no suitable machines. Said person should
be able to compile Icarus Verilog, manage HP/UX specific portability
issues, and be able to make precompiled packages when the stable release
is out.

I'm still looking for a similar volunteer for FreeBSD/{alpha,i386}.

Some more progress was made on support for PALs. I'm close to choosing
macrocell modes and configuring fuses. Won't be long now, folks.

Icarus Verilog snapshot 20001129
--------------------------------
few more constant propagation improvements this time, most notably
XOR an XNOR are now fairly complete. These are interesting as they are
generated by comparison operators so show up pretty often. And it is
common to compare numbers to constants. Thus, there are lots of oppor-
tunities for gate elimination!

Synthesis of unary ! now works. Unary ~| (reduction nor) should also
be in good shape now, as should binary || (logical or). Synthesis of
binary && is still a little shaky. Go ahead and file reports if you
trip on it. Binary != was broken with XNF synthesis, so that is also
fixed, along with a few cases of mangled XNF output. And there were
also a few bugs related to the CE of inferred DFFs, that didn't get
connected.

A *big* problem with synthesis occurred with non-blocking assignment.
Icarus Verilog simply failed to synthesize the r-value of the assignment
and all kinds of bad things happened. I fixed this, it's better now.

Whew! Lots of XNF synthesis bugs fixed! This is what happens when users
take the time to submit good bug reports.

There are also some bugs related to dead signal elimination that causes
Icarus Verilog to crash in some synthesis cases. These have been fixed
up so far as I know.

I have slightly improved root module detection of iverilog. If there is
only one module in a source file, it is pretty obvious that it is the root
module, even if it has ports. This is a common case for XNF synthesis
(especially when making small macros with Icarus Verilog) and should save
some typing and confusion.
2000-12-19 18:53:51 +00:00
dmcmahill
adbdebc615 use -fPIC for building libipal. needed for newer versions (>20001216)of verilog 2000-12-19 16:36:52 +00:00
dmcmahill
e37ad5ef35 homepage moved 2000-12-17 13:02:22 +00:00
dmcmahill
9228c68d60 update to ipal-current-20001210
-all previous netbsd patches have been incorporated (Thanks Steve!)

-changes from the authors announcement:

I fixed a problem with some systems not having getopt.h. I forget
which system it was, but configure takes care of it. I've also fixed
some broken install targets in the Makefiles.

I've added a new pin_count statement to PA files, so that the library
can provide to the user more information about the pinout of the device
in question. Applications may use this to help manage its pin binding
tasks.

A contributed 16l8 part description file was contributed, and included
in this release.
2000-12-17 03:43:29 +00:00
jtb
702481e438 Fixed problems from recent pkgsrc/i386 bulk build. Added the ~250 page
postscript manual.
2000-12-14 02:07:43 +00:00
wiz
a32b3a5655 Unify Makefiles -- mostly headers: remove FreeBSD Ids.
Consistent 4 character indentation of SUBDIR entries.
2000-12-12 01:30:36 +00:00
dmcmahill
eba731a0da ensure configure is executible 2000-12-09 18:43:47 +00:00
dmcmahill
43de13d654 missed this one during last update... 2000-12-09 18:28:12 +00:00
rh
224a7afec4 Sync w/ reality 2000-12-07 12:46:47 +00:00
jtb
c97ef38a00 Add and enable new "felt" package. Fixes PR pkg/11403 by Jason Beegan. 2000-11-27 23:32:11 +00:00
jtb
480b1f8891 Initial import of new "felt" package:
Free system for introductory level finite element analysis
2000-11-27 23:28:23 +00:00
rh
ebf5723505 Update oregano to 0.20. Changes include:
* A new part: wire jumper.
 * Drag'n'drop from the part preview to place parts.
 * A crude form of auto numbering when placing parts.
 * Cleaned up makefiles and put some samples in
   *<prefix>/share/oregano/samples/.
 * Changed default spice executable name to spice3.
 * Connection dots.
 * A simple voltmeter function.
 * Fix various ref/unref/sink design flaws, to
   increased stability.
 * Part browser remembers the previously selected part
   when switching libraries.
 * Should really work with libxml 2.x this time... :-)
 * Reorganization of installation directories for model
   and library files.
 * The plot widget now features axis values.
 * New parts: uA741 opamp and a simple zener diode.
 * Parts and wires can be flipped horizontally and vertically.
 * Enabled loading of simulation settings again.
 * Added a simple text label item to put text on the sheet.
 * Fixed the 'sometimes non-disappearing floating item' bug.
 * Should now also work with libxml 2.x (untested).
 * Switched C and B on the BJT transistor models.
 * Removed the unfinished printing support for now.
 * Redesign of lots of internals.
 * Added lots of functionality to the parts library,
   such as model files, and conditional values in templates.
 * Improved a few of the icons.
 * Fixed a bug where the name of the markers could not be edited.
 * You can inspect the connection span, by holding Control while
   moving the cursor over wires.
 * Added the ability to rotate parts while placing them; press 'r'
   to achieve this.
 * Logging of Spice warnings and errors during simulation.
   The messages can be shown in a log window.
 * Beautification of the part browser UI.
 * Added more tooltips and updated some translations.
 * Fixed a few non-critical bugs.
 * New and improved part properties editor.
 * Improved user interface for the plot window.
 * Internationalization fixes to netlist generation
   and schematic loading/saving.
 * The simulation now uses the user supplied time step size.
 * French translation from David Monniaux.
 * Even more refinement of the default library symbols/parts.
 * Mission 'Code Cleaning' continues.
 * Cut/copy/paste is implemented.
 * The symbols are redrawn to look much nicer and more standard.
 * Some new symbols, e.g. MOSFETs.
 * There can be more than one part library, thanks to Elker Cavina.
 * A bug with simulation time settings was fixed.
 * There is a problem with some spice packages, that make them generate
   binary output, even though the default should be ascii. This is now
   fixed by telling spice to always output text.
 * The help files are now installed in the rpm package.
 * Lots of code restructuring and cleaning.
2000-11-25 11:59:18 +00:00
dmcmahill
5fc4bbd602 rest of upgrade to verilog-current-20001119
don't know what happened the first try.
2000-11-24 18:07:57 +00:00
dmcmahill
6c1ab3c380 update to verilog-current-20001119
changes since the last packaged version (from the authors announcements):

Icarus Verilog snapshot 20001119
--------------------------------
The big change here (code wise) is improved and corrected constant
propagation. I was missing OR, NOR, NAND and XOR propagations, and
got some of the AND calculations wrong. This fixes this shortcoming
and in some cases this actually may speed up your compile a tiny bit.
Some more dangling signals are also eliminated.

supply nets are now working (PR#17). They also will trigger constant
propagation (as they have constant values) in certain cases.

Those of you doing cygwin compiles have trouble compiling parse.cc. I've
put into the cygwin.txt some slightly better instructions for dealing with
this situation, when it comes up.

I've also added missing symbols to ivl.def, so that tgt-stub properly
links.

Icarus Verilog snapshot 20001112
--------------------------------
This snapshot includes support for MOS et al devices as contributed
by Tim Leight. It appears to actually work as advertised, and I also
have from him a collection of tests that I'll be adding to the test
suite as soon as I get copyright information from him. So if you have
been dreaming of simulating MOS devices with Icarus Verilog, give this
a try. This update also fixed PR#27.

I've also cleared up a few bugs related to unconnected module ports.
Module port syntax is pretty byzantine, as PR#38 shows.

The loadable target API has gained access to flip-flops. This is required
for PLD code generation to work. I think the ivl_target API now supports
the minimum devices needed to generate PLD files, and I'm on to the task
of getting ancillary PAL support working.

Icarus Verilog snapshot 20001104
--------------------------------
Yes, I've managed to find the right bits to get Icarus Verilog to compile
on RedHat 7.0, and this snapshot includes those fixes. It took some back-
and-forth with tech support at RedHat to get it going.

I've also fixed up make check so that it works in general. If you use
"make check" after building, the makefile will run the examples/hello.vl
program through the local parts to make sure they minimally work.

I've added support for the "time" data time and more complete support
for the $time system function. These should work properly in all cases
now, so cases of not working are worthy of a bug report.

I've also integrated a re-implementation of sequential UDPs from Stephan
Boettcher, so I would appreciate it if all you folks using primitives
give this a fresh test. (It should be an improvement.)

This is a relatively small message, which doesn't reflect the complexity
of the changes. The "time" support in particular caused a lot of threads
to be pulled. Also, I've been doing some PLD stuff on the side, so I've
been busy.

I've also knocked of PR#11, 14, 33, 34, 39 and a few other bugs.
2000-11-24 18:03:58 +00:00
dmcmahill
44188fc7a8 update to ipal-current-20001122
changes (from the announcement):
The libipal library has gained access to more structural information
about the device, including enable SOPs. It is also now possible to
lookup a SOP by name and by pin. Also, access to sop fuse positions
has been improved.

The toplevel makefiles were changed to use $(MAKE) instead of make.
There are a few other niggling makefile fixes as well.

ipalrev and ipaledit now both take the -p flag allowing you to choose
the architecture for your device, and there are now two devices to
choose from. (both 22v10s:-)

ipalrev was seriously confused about inversions and the sense of things,
but that should be all cleared up now. It does a decent, if not complete,
job of decompiling 22v10 designs.

And finally, the PA file format has been extended to accommodate the
new library features, and PA files are now named according to a naming
convention for identifying devices.
2000-11-24 15:49:05 +00:00
dmcmahill
3c725e8d7b update to gwave-20001123
from the NEWS file:
New in 20001123:
- Saving wave and panel configuration as guile scripts.
- Executing guile scripts from menu or command line (-s <script> option)
- Remote control using the gwave-exec and gwaverepl utilities

New in 20001004:
- Spice3/Ngspice rawfile improvements: binary files now work!
- Other minor file-reading improvements.
- spice source code to examples for which I could still find it.

New in 20001004:
- Major improvements to handling of binary files produced by HSPICE.
- Overhaul of input of spice3/ngspice raw files; more robust and tolerant
  of complex numbers.
- User interface improvements: tooltips added.  User's .gwaverc no longer
  required to do lots of standard setup.  system.gwaverc can be copied
  to $HOME/.gwaverc and edited to taste.
- sp2sp utility included for converting any spice file readable by gwave into
  a convenient tabular ascii format.
2000-11-24 13:51:51 +00:00
dmcmahill
277bf10287 update to dinotrace-9.0l
from the NEWS file:
* Changes in Dinotrace 9.0l  8/30/2000

**      Added support for femtosecond Verilog timescales [Derek Bosch]
2000-11-23 15:46:34 +00:00
dmcmahill
a78ae5bac0 update to acs-0.28
from the history file:
----------------------
New features:

1. New probes: diode G, mos IBD, IBS, GBD, GBS.

2. New options: "floor" and "vfloor".  (Floor was in the manual, but
not in the simulator.)


Improvements, bug fixes, etc.

1. There is a change to the way behavioral modeling conditionals are
handled.  It should now be 100% compatible with SPICE, considering the
subset that duplicates SPICE.  There are still significant extensions
beyond SPICE, particularly that you can have behavioral resistors,
capacitors, inductors, etc.

2. Parameter default calculations are now done in a manner consistent
with Spice 3f5.  Previously, it was supposedly consistent with Spice
2g6.

3. A bug in calculation of threshold voltage of the level 6 model, for
P channel devices, has been fixed.

4. A bug in calculation of Meyer capacitances when the device is
reversed has been fixed.  This bug sometimes caused a discontinuity at
vds=0.

5. I have added some smoothing to the Meyer mos capacitor models.
This improves convergence.   The down side is that sometimes the
answers are different.  It is probably a little better, when
considering closeness to reality, but it is still Meyer's model.

6. MOSFET parasitic diodes are now the same as those used in Spice.

7. There are subtle changes in the diode model.  I think this usually
improves convergence.

8. Charge calculation in Meyer capacitors and diode capacitiors is now
supposedly Spice 3 compatible.

9. An error in BSIM3 scaling has been fixed.


Some things that are still partially implemented:

1. Internal element: non-quasi-static poly-capacitor.

2. BSIM models, charge effects.


Bugs (nothing new, but needs repeating):

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. The makefile does not set up the proper link for the model
compiler.  You need to do it manually.

3. A bad setting of "vmax" and "vmin" can lead to convergence to a
nonsense result.  It is not as bad now as it used to be.
2000-11-22 21:15:13 +00:00
dmcmahill
aba75bf906 add HOMEPAGE 2000-11-22 18:55:04 +00:00
dmcmahill
d832dbdfc7 add and enable ipal-current 2000-11-19 17:06:28 +00:00
dmcmahill
19afe34e04 initial import of ipal-current-20001118
note, this is the development snapshot version of the package.  As stable
releases become available, there will be a ipal package.

from DESCR:
Icarus PAL is a set of libraries and utilities for manipulating PAL
designs.  The design information is conveyed in the industry standard
JEDEC file format.

Icarus PAL accepts parts descriptions that detail the device
structure, capabilities and pinouts so that software can be written to
work relatively independent of the part type. These parts descriptions
also describe how the fuses of a device are arranged, so that
synthesis tools can generate fuse maps to get the desired
functionality and pinout. See as a commented example the description
in the pa/pal22v10.pa description.

The ipaledit program takes as input a .JED file and displays the PAL
design. It shows the sum-of-products as a fuse matrix, and shows the
macrocell configurations in convenient form.

The ipalrev program takes as input a .JED file and reverse compiles
it, producing a Verilog program that logically describes the
design. This use useful for moving old designs to new tools.

Please note that this package is a development snapshot and while it contains
the latest and greatest features, it may be buggy as well.  When
available there will be a seperate ipal package which will be made of
the stable releases.
2000-11-19 17:05:28 +00:00
dmcmahill
aecdabed34 add and enable boolean 2000-11-18 18:00:11 +00:00
dmcmahill
8edae9218a initial import of boolean-6.2.
from DESCR:
The program is a viewer and editor for:
  -GDSII files, KEY files (own made extended GDSII in ascii format) and
   DAVID MANN files (flash format for mask plotting)

Features:
 -It allows to draw primitives on a chosen layer, and to manipulate them.
 -stack oriented tools allows zooming while drawing new primitives and
  editing them. This also makes it possible to draw extremely accurate.
 -primitives on the layers or/can be transparent  colors and fill patterns
  can be set on a layer basis
 -drawing order of layers can be changed
 -a hiearchy of pictures, named structures, can be handled and manipulated
 -saving as a bitmap and other formats.
 -measuring distances
 -adding user defined properties to primitives


 -boolean OR AND EXOR A-B B-A
 -positive and negative process offset
 -circle recognition in polygon and polyline data.
 -move copy delete etc.
 -transformations (scaling , rotation , moving)
 -flatten the hiearchy of the drawing
 -drivers for CNC (laser and milling machinery)
2000-11-18 17:57:27 +00:00
dmcmahill
194313482a add and enable ng-spice 2000-11-14 14:32:13 +00:00
dmcmahill
0ce7ea6b69 add conflict with ng-spice 2000-11-14 14:30:14 +00:00
dmcmahill
b08f468e9e initial import of ng-spice-13.
NG-SPICE is the program being developed as the replacement for Berkeley
SPICE.  Using the Berkeley code as a starting point, the NG-SPICE team
is working on improving the build system, adding to the models, and
improving the analysis capability.

SPICE is a general-purpose circuit simulation program for nonlinear dc,
nonlinear transient, and linear ac analyses. Circuits may contain resistors,
capacitors, inductors, mutual inductors, independent voltage and current
sources, four types of dependent sources, lossless and lossy transmission
lines (two separate implementations), switches, uniform distributed RC
lines, and the five most common semiconductor devices: diodes, BJTs, JFETs,
MESFETs, and MOSFETs.
2000-11-14 14:28:14 +00:00
dmcmahill
f822dd9e38 add and enable xcircuit 2000-11-02 14:02:04 +00:00
dmcmahill
7254993bc1 Initial import of cad/xcircuit.
The program xcircuit is a generic drawing program tailored especially
for making publication-quality renderings of circuit diagrams (hence
the name).  The output is pure PostScript, and the graphical interface
attempts to maintain as much consistency as possible between the X11
window rendering and the final printer output.

xcircuit is mouse, menu, and keyboard-driven, with the emphasis on
single-character keyboard macros.

Package provided by Jason Beegan <jasontd@indigo.ie> in PR 11383 with
some minor modifications by me.
2000-11-02 13:59:54 +00:00
dmcmahill
6dda3d3024 add LICENSE and NO_{SRC,BIN}_* to comply with the license. Pointed out by
Jason Beegan <jasontd@indigo.ie> in PR pkg/11407
2000-11-02 03:49:09 +00:00
dmcmahill
4056254343 update to 20001021 snapshot of verilog-current
from the authors announcement:
-----------------------------

The loadable target module API is starting to take shape.

That is the major thrust nowadays with Icarus Verilog, after all, so
progress is being made here. The biggest change is in fact a philosophy
change. The target module now needs only a single symbol -- target_design --
to receive the whole design. The target module can from there and using
the API access the entire design randomly. So if you wanted to implement
a graphical browser, you could:-)

I've added support for the l-values of procedural assignments, and also
back pointers to objects that reference ivl_nexus_t objects. This closes
the loop so that there should be no dead-ends in the design.

I've clarified and expanded the descriptions in the ivl_target.h header
file. There should be just about enough documentation to properly used
all the various types. (Have any of you tried to write GIMP plug-ins?
Have you looked at the libgimp header files? Have you seen any comments
there?-( I won't ever sink to that level, I hope.)


I've also imtegrated updates to the Cygwin32 port to support loadable
targets under Cygwin32. After much struggling, Venkat managed to discover
the secret magic needed to get load time symbol binding to work. Hopefully
I didn't break it too bad when I changed the API again. (I think it is
still fine.)
2000-10-27 03:59:47 +00:00
skrll
8b4e662680 Correct EVAL_PREFIX entry. 2000-10-22 09:20:18 +00:00
skrll
fa2140a0cb Update to use new qt-2.2.1 libraries. 2000-10-19 08:06:09 +00:00
rh
813d4e69c1 Add and enable eagle 2000-10-15 12:18:39 +00:00
rh
b715a6746d Initial import of eagle-3.92r0, an easy to use schematics and printed
circuit board editor.
2000-10-15 12:17:26 +00:00
dmcmahill
abdd9fbf7b move the docs out of FILESDIR to a seperate tarball instead of making the
pkgsrc for this pkg be nearly 1Mb.  Thanks to Thomas Klausner for pointing
this out.
2000-09-01 15:05:56 +00:00
dmcmahill
56c5ee7e23 -fix building of manpage (don't try and use docbook-to-man). Instead supply
the resulting manpage as a file.
2000-08-31 17:21:58 +00:00
dmcmahill
8dba45a0e5 remove depends on teTeX and add a patch to not run latex. Provide the docs
as files instead of requiring the user to install one of our largest packages
just to build them.  Note, in the previous version of this pkg, the docs generated
with latex weren't even being installed (!).
2000-08-31 14:55:29 +00:00
dmcmahill
6d4c86eef3 update to gwave-20000809.
Notable changes since the last pkged version are:
Gwave NEWS --- history of user-visible changes.  -*- text -*-

New in 20000518:
- Logarithmic scales working on both X and Y axis.

New in 20000509:
- First public guile/guile-gtk release.
- Popup menu on visible-wave button can activate per-waveform dialog box
- Logarithmic scale on Y axis working; on X axis it isn't quite there yet.

New in 20000108:
- Merged in guile and guile-gtk; the GUI is mostly written in guile now.
- Some additional improvements to reading of HSPICE input.  Reading partial
files from simulations still in progress works for ascii .tr0 files.
2000-08-30 17:49:36 +00:00
dmcmahill
01ad1fed7c make sure that the configure script is able to correctly link the test
Qt program on ELF platforms.
2000-08-30 16:19:42 +00:00
dmcmahill
5e50bdbf36 Update to vipec-2.0.4
Changes included in version 2.0.4
---------------------------------
- Fixed printing under windows.
- You can now plot the real and imaginary parts of the
  circuit input and output impedances

Changes included in version 2.0.3
---------------------------------
- Moved to Qt version 2.1.0
- The help browser is now integrated into the ViPEC application.
- Fixed a bug in calculating the circuit output impedance.
- Input and output impedances ouput to a graph now works.


Changes included in version 2.0.2
---------------------------------
- Improved data storage of graphs and Smith charts
- Double buffering implemented for drawing of graphs
  and Smith charts to reduce flicker.
- Improved font management
- Fixed display bug in Table view
- Fixed bug with output to 2 port parameter files under Windows
- Added support for Group Delay, see help files for more details
  as well as sample circuit: group_delay.ckt
2000-08-28 19:52:33 +00:00
jlam
06f0a4c3c2 Use new PERL5 variable instead of ${LOCALBASE}/bin/perl. 2000-08-27 02:46:03 +00:00
jlam
1efc07d1e0 s/USE_PERL/USE_PERL5/ 2000-08-26 03:26:44 +00:00
tron
f0b9164cf1 Use "USE_XPM" option instead of direct dependence on the "xpm" package. 2000-08-23 23:14:21 +00:00
dmcmahill
a042bfc316 Update to 0.27. Changes are (from the release notes):
ACS 0.27 release notes  (06/03/2000)

New features:

1. BSIM3 model, DC.
They work for AC and transient analysis, but only the DC effects
actually work.  The next release should have the charge effects.  For
now, it fakes it with Meyer's model.

2. A first cut at a model compiler, to aid in development of new
models.  Models are described in a ".model" file, which is processed
to automatically generate the ".h" and ".cc" files.  This version
fully handles the ".model" statement part of it, but leaves the device
and common sections the old way.  Eventually, the entire process will
be automated.  The old way still works.

3. "Fit" behavioral modeling function, which fits a curve to a set of
data.  You can specify the order of the fit, which is piecewise
polynomials.  For now, the order may be 1 (linear, like PWL) or 3
(cubic splines).  You may also specify the boundary consitions.

4. More probes.



Some things that are partially implemented:

1. Internal element: non-quasi-static poly-capacitor.  It is needed by
the BSIM3 and EKV models.  Eventually, it will be available as a
netlist item, but not yet.



Bug fixes:

1. PWL could fail if there were duplicate points at the beginning.  It
still does, but gives a reasonable error message.

2. Some "dot commands" were ignored if there were spaces before the
dot.  This was particularly annoying if the line was supposed to be
".end" which should make it exit.  It didn't, leaving it in
interactive mode, a major annoyance in a script.



Other improvements:

1. There is a change to the way integration in capacitors is done.  It
is now strictly based on charge (i = dq/dt).  The old version was
based on capacitance (i = C * dv/dt) which is strictly incorrect.  The
dC/dt term was missing (i = C * dv/dt + v * dC/dt).  This is a
non-issue when C is constant.

2. More documentation on internals.


Changes that I think are improvements, but some may disagree:

1. The command line is a little different.  In the old version,
"acs file" would run it, and whether it exited or not depended on
whether there was an ".end" line.  Now, by default, it just loads the
file in preparation for interactive use.  If you want batch mode, say
"acs -b file".

2. The regression suite is included in the standard distribution.



Changes that are not really improvements:

1. Due to the model compiler, the build process is a little more
complicated.  To do a complete build, you must build the model
compiler first, then the simulator.  If you are not making any new
models, you can probably get away with just building the simulator.
This will change in a future release.



Bugs:

1. The transmission line initial conditions are not propagated until
the transient analysis runs.

2. The makefile does not set up the proper link for the model
compiler.  You need to do it manually.


Hot items for a future release (no promises, but highly probable):

1. Charge effects in BSIM models.  They are computed, but not loaded
to the matrix.

2. Completion of model compiler, and its documentation.

3. Completion of multi-rate.

4. Homotopy methods to improve convergence.

5. Transmission line accuracy and speed improvements, using a step
control mechanism similar to that used for capacitors.

6. Parameterized subcircuits and defined parameters.

7. A "trigger" element, so time dependent values can be triggered by
the circuit, as an alternate to simple time.



To reach me, try this email address:
        aldavis@ieee.org

	ACS ftp sites:
	ftp://ftp.geda.seul.org/pub/geda/dist/acs-0.27.tar.gz
	http://www.geda.seul.org/dist/acs-0.27.tar.gz
	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/acs-0.27.tar.gz
2000-08-16 22:02:45 +00:00
dmcmahill
b9b57873b4 - make sure that the local includes are always searched first to avoid picking
up some possible conflicting headers.

- while I'm here, make me the maintainer (instead of 'packages')
2000-08-16 05:09:30 +00:00
wiz
7beb6e50dc USE_PKGLIBTOOL -> USE_LIBTOOL.
Use LTCONFIG_OVERRIDE for fewer patches.
2000-08-16 02:08:52 +00:00
wiz
f2e8cd4168 USE_PKGLIBTOOL -> USE_LIBTOOL. Sort PLIST. 2000-08-16 00:07:32 +00:00
wiz
a4d1c76770 USE_PKGLIBTOOL -> USE_LIBTOOL 2000-08-15 23:46:03 +00:00
wiz
a24e57d020 USE_PKGLIBTOOL -> USE_LIBTOOL 2000-08-15 23:31:47 +00:00
wiz
0c226db381 USE_PKGLIBTOOL -> USE_LIBTOOL. Sort PLIST. 2000-08-15 23:07:50 +00:00
wiz
c750c08ad9 Remove (sometimes FreeBSD) packager's (porter's) name from DESCR.
If anywhere, it should be the value of MAINTAINER in the Makefile.
Some minor cleanup/reformatting while I'm here.
2000-08-11 01:07:45 +00:00
wiz
188c4dff9b update HOMEPAGE 2000-08-10 22:39:24 +00:00
rh
cba4e09af8 Pull in teTeX instead of teTeX-bin to make this compile properly.
Hopefully fixes pkg/9873 (awaiting confirmation from the author of the PR)
2000-08-08 07:39:24 +00:00
rh
6b2b7689ff Fix target for teTeX build dependency.
XXX: We really need a supported way to list a specific package for
XXX: build dependencies.
2000-08-07 10:58:59 +00:00
dmcmahill
5cde8a1988 update to verilog-current-20000805. Changes since the last packaged snapshot
are (from the authors announcements):

--------------------------------
Icarus Verilog snapshot 20000721
--------------------------------
(first snapshot after the 0.3 release)

This snapshot adds no new features or language support, but is working
towards more precise interpretation of scheduling and value propagation
details.

The first thing I've done is redesign the internal Link structure that
is used to connect the internal netlist together. There are some aspects
of the nexos of a set of links that were carried by the Link class or
by external functions. These have been moved to the new Nexus class and
linking and structure has improved because of it.

This has led me to modify the handing of signal initial values. In practice,
the time-0 value of a net is a property of the nexus instead of the objects
that are connected together, so I have implemented it so, and in the
process fixed a bunch of initial value problems.

One new feature that is added is support for non-constant delay expressions.
Now, you can even have something like ``#($random%256) <statement>'' and
expect it to do what you think. (So now the telephone example in James
Lee's "Verilog Qickstart" actually works!)

I've added some missing support for various operators in constant expressions.
I've also added some more of the friends of $random for those folks who
do stochastic modeling.

Constant propagation carries some new bug fixes, and some new smarts. It
is for example able to detect a mux with a constant 'bz input and replace
it with bufif devices, and other clevernesses with logic reduction.

--------------------------------
Icarus Verilog snapshot 20000729
--------------------------------

Like I said, the `timescale compiler directive now more or less works.
You can now specify timescale for modules, and the compiler will figure
out a global design resolution and scale your time values to match. The
VCD dumps should reflect the chosen resolution automatically. Floating
point notation is not yet supported, we'll see if that turns out to be
a problem.

A problem with `timescale support is that the compiler will allow unitless
modules. This can happen if you have `timescale late in the source file.
The default unit is the not-very-intuitive 1s. Frankly, I don't like the
`timescale semantics for this sort of reason, but its an accepted
standard, so I'm stuck with it.

I've also added support for min:typ:max expressions. The compiler chooses
one of the three expressions at compile time, based on a compile time
switch. You can ask for min typ or max values via the "-Tmin" etc. switch
to the iverilog command. If you do not specify a switch, the compiler will
choose the typ values but print warnings. The -Ttyp switch will suppress
the warnings.

I have fixed yet more net initialization bugs. These are getting pretty
subtle, now, so you should have a hard time tickling any remaining errors
here. I've also fixed a nasty and subtle bug in event expression support.
This bug only happened when the design had many event expressions with
many conjunctions.

Although they are not ready for use, I have made some forward progress
with disable statements. I now at least elaborate them, so now I just need
to figure out how to make the run-time work out. That's the hard part,
I'm afraid.

--------------------------------
Icarus Verilog snapshot 20000805
--------------------------------
I've finally dealt with a problem that's been nagging at me for a while.
Until now, it has been possible that excessively clever hierarchical
references into and out of task scopes could confound symbol lookup.
I think I finally put that to rest, and in the process reorganized the
netlist format for holding task definitions. It should no longer be
possible to confuse name binding in Icarus Verilog.

Found and fixed a silly bug in elaborating  e?a:'bz and e?'bz:a expressions
into bufifN devices. I got the sense of the enable wrong in one of the
cases. All fixed (and the test suite updated to catch this silly mistake:-)

tri0 and tri1 nets should now work properly. These are mostly a run-
time issue which I solved using resolution functions. This is actually
a technique that I borrowed from VHDL.

For those of you doing XNF synthesis, I fixed up my FF/RAM detector to
allow <= assignments in always blocks. This is in fact the preferred way
to describe DFFs as <= more accurately simulates their RTL nature.

Also found and fixed a few DOS \r\n line end issues in the lexical ana-
lyser and the preprocessor. We sometimes forget how tricky these line-
end problems can be, and compiler directives are the most susceptible.
This problem most likely occurs when you transport files from a DOS
environment. (The MAC folks haven't complained much, so either I got it
right for them, or Kato took care of the problems for me:-)
2000-08-06 15:43:34 +00:00
rh
bc3c99f1c2 Specifically depend on teTeX-bin>=1.0.7 during build to prevent picking up
random crap.  Hopefully fixes PR 9873 by Michael Wolfson
<mw34@cornell.edu>.
2000-08-03 18:19:55 +00:00
dmcmahill
56ca143250 -update to Dinotrace 9.0k
Changes since 9.0g are (from the NEWS file):

  Changes in Dinotrace 9.0k  7/17/2000

        Fixed bug with $comment after $enddefinitions. [Harunobu Miyashita]

        Fixed Tempest reading signals over 128 bits. [Ta-Chung Chang]
        This bug was introduced in 9.0i.

        Fixed portability bug with Value Examine showing 0s. [Ta-Chung Chang]

        Fixed Verilog reading with large time intervals. [Matthias Wenzel]
  Changes in Dinotrace 9.0i  5/1/2000

        Major speed improvement in reading Tempest traces.
        All trace formats now have less processing when building busses from
        individual bits. [Steve Hoover]

        ASCII traces assume extra time so last line is not lost.
        ASCII traces which had timestamps would not show the last state of the
        bus, as Dinotrace did not guess at how long that last state was valid for.
        Now, it looks at the smallest time step in the trace, and uses that
        as a guess at the timescale. [Pani Kodandapani]
2000-07-26 20:58:34 +00:00
tron
ebcef6eadf Depend on version 4.0 or newer of the "readline" package. 2000-07-20 15:40:58 +00:00
agc
78748d628a Instead of the clunky SHOW_PKG_PREFIX, introduce an EVAL_PREFIX definition,
which takes entries of the format <make-definition-name>=<pkgname>. This
has not been added to MAKEFLAGS because (a) premature optimisation is the
root of all evil, and (b) because the .for loop used to implement this
shows the wrong results when multiple prefices are evaluated.

Modify all the package Makefiles to use EVAL_PREFIX, thereby simplifying
them considerably.

ALso simplify the logic to calculate the prefix as well.
2000-07-15 20:39:13 +00:00
agc
69cbb39071 The previous method of using a make target for finding a prerequisite
package's prefix would not work as part of the environment specification
via MAKE_ENV (as it would not be executed in the correct directory).

Fix this by invoking pkg_info(1) directly, not via an intermediate make(1)
step - this is not as clean, but more effective (i.e. it works).
2000-07-14 16:04:19 +00:00
agc
4aeac45f89 Make the determination of the pre-req package's installed directory be
a bit more user-friendly.

Introduce a show-{gtk+,imlib,kdebase,qt1,qt2,xpm}-prefix target in
bsd.pkg.mk, and use "${MAKE} show-*-prefix" in package Makefiles.
2000-07-11 14:53:35 +00:00
dmcmahill
2892ff05e1 make iverilog give proper return codes. 2000-07-11 14:35:58 +00:00
agc
5ee37a44d2 Use X11PREFIX in preference to X11BASE, so that this package cooperates
with xpkgwedge.
2000-07-11 09:19:14 +00:00
agc
f1bc5a3e73 Find qt2 prefix via pkg_info(1), rather than hardcoding it as ${X11BASE}.
Pointed out by Dave Sainty <dave@dtsp.co.nz>, munged slightly by me.
2000-07-10 16:03:47 +00:00
hubertf
0b6268e643 Fix typo in Makefile - patch mailed back to the pkg's Author 2000-07-06 12:24:30 +00:00
dmcmahill
f5b7f311d1 make the default timescale in the output VCD files be 1ns for compatibility
with viewers such as Dinotrace.
2000-06-30 19:55:04 +00:00
dmcmahill
89c6f16070 update to verilog-0.3
Changes, from the authors release statement, are:

This release is a significant improvement over previous releases of
Icarus Verilog, including better language coverage, improved
synthesis, and increased performance.

This release adds to the 0.2 release support for Verilog-2000 style
parameters and parameter overrides, defparam, and localparam,
including proper handling of scoping rules. Also, strength modeling is
added, with support for strengths attached to gates and continuous
assignments.

Combinational user defined primitives have been added to complement
synchronous primitives that were already supported. Support for
primitives should now be fairly complete.

Force/release/assign/deassign syntax now works properly, allowing for
more sophisticated test bench design and debugging.

Bug fixes have been numerous and varied. This release of Icarus
Verilog is considerably more robust then previous versions, thanks to
diligent testing and bug reporting by users all over the world.
2000-06-22 03:15:31 +00:00
dmcmahill
6af29613f4 fix the patch so it works.... 2000-06-12 20:30:41 +00:00
dmcmahill
da153cfaec fix the .info file so that
install-info --delete %D/info/dinotrace.info %D/info/dir
actually work.
2000-06-12 20:10:57 +00:00
dmcmahill
4e5c943259 compile with -fPIC instead of -fpic. Makes things work right on sparc. 2000-06-12 00:41:09 +00:00
rh
74add3b300 s/USE_LIBTOOL/USE_PKGLIBTOOL/
Add a new USE_LIBTOOL definition that uses the libtool package instead of
pkglibtool which is now considered outdated.
USE_PKGLIBTOOL is available for backwards compatibility with old packages
but is deprecated for new packages.
2000-06-01 11:23:11 +00:00
dmcmahill
f403b95eb9 update to verilog-current-20000527
changes since last packaged snapshot are (from the authors announcements):

Icarus Verilog 20000527 Snapshot
----------------------------------

It's snapshot time!

    <ftp://icarus.com/pub/eda/verilog/snapshots/verilog-20000527.tar.gz>

This snapshot doesn't add any new features, but fixes a few bugs. I've
taken care of a bunch of bug reports with an eye towards getting this
polished up for a 0.3 stable release.

I fixed some problems with elaborating the condition expression of a
ternary operator. This was a long-standing bug that only happened in
structural (i.e. continuous assignment) situations.

I've also done some merging of event expressions. The netlist format makes
NetEvProbe and NetEvent objects for event expressions, and it was making
more then were needed. I've done some merging, though I have some more
things I can do on this front. I'll be working on it for the next snapshot.

I found a whole bunch of bugs with parsing expression lists, for example
module port expressions. The result is actually a smaller parser:-) So
module port expressions should be parsed and elaborated correctly, now.

In the vvm code generator, I've found some room to optimize the generated
code. I detect duplicate initialization of a nexus, and prevent the
excess code being generating. In one slightly degenerate example sent to
me, this change reduced the generated C++ by more then 6 times. I was
pretty amazed.

I've also slightly optimized the special case of behavioral assignments
from simple signal expressions. This removed a few lines of generated
code per assignment. This sort of thing helps compile time performance.


Icarus Verilog 20000512 Snapshot
----------------------------------
This is mostly a bug fix snapshot. No new features here, but I'm starting
to buff it up shiny for an upcoming 0.3 release. It looks like I'll be
starting to do release candidates soon, so test this snapshot hard, folks!

    <ftp://icarus.com/pub/eda/verilog/snapshots/verilog-20000519.tar.gz>

I re-implemented flip-flop and RAM synthesis, the new technique should
allow me to make much more complete synthesis. It's still not the nifty
full-scale synthesis I hope to do some day, but it should catch some of
the bigger synthesis problems.

I've also added to XNF synthesis the ability to detect start-up initial
values for flip-flip devices. This causes it to generate INIT= properties
for the devices as appropriate.

I've improved the VVM code generated by the t-vvm code generator. I've
managed to reduce the size of the code generated for some larger models
by 30%, and I should have improved run-time performance in the process.
This should help.

I've also found (thanks to bug reports) and fixed some module port issues.
I bet you can't dream up legal port binding that Icarus Verilog can't
handle:-) This issue should be taken care of.

VPI now includes the ability to set registers. I needed this to implement
a PNG image I/O module. I'm still working on that, I'll distribute it
separately when it is in better shape.

Various other bug fixes in iverilog and elsewhere. Several bug fixes
in the VVM runtime, including some support for the % operator.

I've done some updates to documentation to reflect some of the changes
since 0.2, so you can take a look at that too.
2000-05-30 23:43:43 +00:00
dmcmahill
fd3cc12a2d make all pkgs for which I'm the maintainer point to my netbsd email. 2000-05-12 16:07:33 +00:00
dmcmahill
df73e5f2de update to 20000506 snapshot.
changes from the last packaged snapshot (from the authors announcement):

---------------Icarus Verilog 20000506 Snapshot------------------
A lot of internal reworking has been done on this, so there might be
problems with things like symbol binding. But I think this is much better
then the last snapshot. I am once again starting to think about a
stable release. I'll shoot for the end of May, so if there is anything
you want to see in that release, start pestering me.

The big job has been a rewrite of the symbol table that holds signals.
The previous elaboration and lookup code for signals/memories did not
work properly when hierarchical names were used in the context of tasks
and functions. Also, the old table kept all the signals is a single
lookup table that failed to take advantage of knowledge of the current
scope.

All that is changed. signals are now elaborated after parameters and
before processes, so all hierarchical accesses should work properly now,
no matter how contorted. I've also fixed some bugs with function/task
parameter passing.

I've also added some infrastructure for supporting system functions, and
I've added an implementation of the $random system function. This currently
uses the native random(3) C library function, but once I get access to the
standardized algorithm, I'll implement that.

There are also a few fixes to elaboration of ternary operators. They were
a bit touchy about result bit widths.

A few preprocessor bugs have been fixed, especially related to the
`ifdef/`endif tokens. People are all the sudden starting to use the
Icarus Verilog preprocessor, so some long-standing bugs have been caught.

The iverilog command had a few path problems fixed, and the remaining
necessary switches have been added. I really encourage people to start
using iverilog in place of verilog. The test suite now uses iverilog to
run the compiler, so should you. There is a man page.
2000-05-11 01:33:49 +00:00
dmcmahill
9f9f676ac4 distinguish these 2 packages as "development snapshot" and "released" so
its more obvious of the difference.
2000-05-10 23:19:00 +00:00
dmcmahill
0bf61c411c Update to verilog-current to the 20000428 snapshot.
The many bug fixes and changes since the last packaged snapshot
are (from the authors announcements):

Icarus Verilog 20000428 Snapshot
--------------------------------
This one clears up some pretty nasty and subtle bugs. If you've been
sending me bug reports, you're probably turning blue holding your breath
in anticipation of this snapshot. Breath in, Breath out.

Hooray, both force and release work properly. I'm happy about that,
release worked out a bit easier then I expected. These should be useful
to test bench designers.

The big news this past week, however, has been bug fixes. Lots of bug
fixes. I got lots of bug reports and I killed pretty nearly all of
them. There were lots of nasty icky problems with passing parameters
to/from tasks, especially when memory words were involved. I fixed up
a whole bunch of these, and now parameter passing should work pretty
will, modulus the few remaining bugs I'm not seeing yet.

The iverilog command is in better shape now, and I encourage people
to use it in place of the older "verilog" driver script. There is a
man page for iverilog, and it supports all the switches needed to do
simulation and synthesis. I would like people to start getting this
driver well tested and the bugs worked out, because it is going to be
the main driver come the next stable release.

Some neat new XNF features are happening. I synthesize identity compare
in XNF, and a few other missing operators. But the really neato part is
that I've taught Icarus Verilog to generate PIN records for module
ports, so that you can make XNF macros out of Verilog source. If you
elaborate a module that has ports, the XNF code generator will automatically
generate the necessary symbols so that external XNF tools can link the
generated output into larger designs. I've compared the XNF files from
Icarus Verilog with those generated by Abel, and they appear the same
to my eyes.

Icarus Verilog 20000421 Snapshot
--------------------------------
Bunches of bug fixes, and a few new features come with this snapshot.
This snapshot makes headway in both simulation and synthesis. I'm
also starting to make a big dent in my todo list for the 0.3 release.

The bunches of little bug fixes in this snapshot are a direct result of
bunches of bug reports this past week that I was able to deal with. If
you've been reporting bugs, this may contain your fix.

I redesigned the process implementation in the vvm backend, so the generated
code is a bit cleaner, and threads are lighter weight. And while I was at
it, fork/join now should work properly. I know there were a bunch of you
out there asking for this, so here it is.

I've incorporated into this release improved runtime support for integer
multiplication, it should now work now matter how incredibly enormous
you make the operands. Thanks to Chris Lattner for contributing the generic
multiply.

I've improved synthesis somewhat, there were some expressions in some
contexts that were not getting synthesized by the -Fsynth functor. This
is fixed, and I'm also starting to add some XNF specific optimizations
into the -Fxnfio functor. I do sensible things with identity compare,
for example.

I've added the program ``iverilog'' to be a new driver program written
in C instead of as a shell script. This driver supports the -tnull,
-txnf and -tvvm targets, as well as the -E flag that causes only the
preprocessor to be run. This should be interesting to those of you who
are looking for a working preprocessor. I'm still working on the -D and
the -I flags, but I expect this program to replace the verilog.sh script
before the 0.3 release.

Icarus Verilog 20000414 Snapshot
--------------------------------
All event handling is now complete. Yet another subject is behind me, and
on I go. By complete, I mean that named events, edge triggers, wait, and
lists of events all work. This took a little longer then I expected, so
some of the other things I wanted to work on had to wait.

As a side effect of event and thread scheduling work, I changed the way
that threads are generated in vvm. The result is that threads should be
a little faster at run time, and a lot faster at compile time. A *LOT*
faster at compile time. (Apparently, Verilog XL is still considerably
faster, but hey, I'm working on it.)
2000-04-30 18:15:17 +00:00
drochner
fcf7b69e2c update for new qt2 layout 2000-04-28 10:11:02 +00:00
dmcmahill
4c7ec8f1ac sync with qt-2.0.2 location 2000-04-14 21:12:27 +00:00
dmcmahill
0f42490879 update to verilog-current-20000409.
changes since the last packaged snapshot include:


Icarus Verilog 20000326 Snapshot:
--------------------------------
The VVM backend rewrite continues. More templates are gone, and the
bit functions have been pretty much rewritten. The vvm library now handles
bit values with strengths, and most of the devices to the right things
with those strengths.

The most obvious implication of this is that you can write multiple
drivers to a net and expect the values to be properly resolved, and in
particular the HiZ value works as it should. So I am well on the way to
completing strength modeling support.

What is still missing is support for strength specifications in the
Verilog source. Although the parser supports the strength related keywords,
they are not passed on to elaboration, or used to generate drivers with
the proper strengths. So that's in the works.

While doing all this VVM rewrite, I've made the generated code considerably
smaller. And of course fewer templates are used. The upshot of this is that
compiles of larger designs should go a whole lot faster. This is important
because people are using Icarus Verilog for increasingly larger designs.
On some larger examples, I've achieved more then 3X compile time improvement.

Icarus Verilog 20000409 Snapshot:
--------------------------------
Named events now work!  Event object declarations and trigger statements
are fully supported, and blocking on a single event also works. I'm not
up to named events in event lists because I'm in the midst of redesigning
the way events on nets and regs are implemented. However, the common case
works fine, so there you are.

I've also added support for some more arithmetic operators. Division and
Modulus now work in many contexts, and are not far from working everywhere.
Also, comparison operators work in places they used to not.

There was a compile error in memory objects that managed to slip through
a couple snapshots, that I finally cured. The problem was pretty gross,
but somehow not quite tickled by my tests. Oh well.

I've integrated some VCD improvements from Anthony Bybell. Some of you
recognize the name as the author of GTKWave, so if he says VCD works like
so, then that's how VCD works:-) Anyhow, he fixed the VCD output to be
more portable, and also a bit smaller when vectors are involved. He also
fixed some bugs with multiple calls to $dumpvars.
2000-04-09 23:11:49 +00:00
dmcmahill
29a4955769 make qt-2.0.2 package conform to hier(7) and update pkgs which depend on
it accordingly.
2000-04-05 15:22:42 +00:00
dmcmahill
387739bb6e add 1 more missing lib 2000-04-02 04:38:56 +00:00
dmcmahill
30dc8181c9 remove -O2 when compiling "analyser.cpp" because it causes
the assembler on pmax (1.4.1) to never complete.
2000-04-01 19:35:11 +00:00
dmcmahill
7f947df158 various ELF fixes.
- Make sure -Rpath is done correctly
- Make sure qt libs are found
- Make sure -lz -lpng -lSM are included as libqt needs functions
  from these libraries.
2000-04-01 18:53:59 +00:00
dmcmahill
1d646b8454 add missing configure argument for Qt location. 2000-04-01 05:40:52 +00:00
dmcmahill
6c2510b272 update to vipec-2.0.1
the previous pkg was based on a development version of vipec.  This version
is considered a stable release.
2000-03-28 21:48:41 +00:00
dmcmahill
07c67df8fd make qt1 install in its own directory instead of renaming its installed
components.  This allows users of Qt to specify QTDIR=/path/to/qt instead
of having to patch all configure scripts and makefiles to look for alternate
names.  This is the recommended approach from Troll Tech (Qt authors).

update pkgs which use qt1 to reflect this.
2000-03-28 00:09:19 +00:00
tron
782b73a94b Adapt to new handling of "${CONFIGURE}". 2000-03-27 08:54:53 +00:00
dmcmahill
bcc1530ee8 Update to acs-0.26. Changes (from ${WRKSRC}/doc/relnotes-026) are:
New features:

1. BSIM1, BSIM2 models -- DC only.
2. New elements:
        trans-capacitor
        voltage controlled capacitor
        voltage controlled conductance
        voltage controlled resistor
3. Optional new syntax, with type first so component labels can start
with any letter, and the choice of components is no longer limited by
the 26 letters.  This was necessary for a clean syntax
for #2.
4. Some new parameters on existing devices, also a side
effect of the BSIM work.
5. The manual in HTML form.  The manual source is still in LaTeX,
which can be used to generate HTML, PDF, Postscript, or many other
formats.

Bug fixes:

1. An error causing truncation error to be underestimated has been fixed.

Other improvements:

1. MOSFET model evaluation is a little faster, due to use of one of
the new elements to replace several old ones.  I have seen 40%, but
20% is more likely.  The improvement is most evident on busy circuits,
where the ACS speed enhancements based on latency exploitation
contribute more overhead than their value, that is .. the type of
circuit that has run faster in Spice than ACS.

2. More documentation on internals.

Changes that I think are improvements, but some may disagree:

1. Truncation error based step control is disabled when Euler's method
is selected.  The justification for this is that the reason for
selecting Euler's method is to avoid the artifacts of high order
methods on "stiff" poles.  Without this change, a "stiff" pole would
cause an unreasonably small step size.  This did not appear to be much
of a problem in the old release because the use of an incorrect
formula for estimating truncation error.  A "stiff" pole is one that
has a response so fast it can be thought of as instantaneous.

2. The "help" command, with its 4 year old help file, has been
removed.  The concept is really obsolete.  With the HTML form of the
manual, a full online manual is a better replacement.
2000-03-26 15:50:21 +00:00
dmcmahill
eb6957f232 Update to verilog-current-20000318.
Notable changes since the last pkg are (from the snapshot announcement):

Parameters are complete.
What this means is that I finally got around to supporting defparam,
and while I was at it I rewrote the entire parameter handling and added
the parameter support included in 1364-2000.

I have rewritten major portions of the VVM backend. The vvm_nexus class
has been introduced to the fray, and all the device implementations in
the VVM library now use the nexus to drive and receive values. An advantage
of this scheme is that the t-vvm backend code (in ivl proper) is simpler,
and so is the generated C++ code.

I also removed most of the template classes. This proved to be a huge
compile-time benefit (though compiling twice as fast really only matters
for large programs) and it doesn't seem likely to hurt run-time performance.
A few remain, either because they seemed harmless (the N-wide logic gates)
or I couldn't yet figure out a good way to replace them (vvm_bitset_t).

A side benefit of this is that the vvm library may now be a modeling
library that ordinary humans can use to write their models in C++. This
may provide the unexpected benefit of heading me towards incremental
compilation of designs. So who was it who was beating me over the head
asking for that?-)

I also fixed a few minor problems with the preprocessor. Those of you
who reported problems with `includes and `defines should check this out.
2000-03-25 21:09:16 +00:00
dmcmahill
7d380b604d move dependency from qt-1.44 to qt1-1.44 2000-03-23 22:07:12 +00:00
dmcmahill
44ec0c3784 add and enable dinotrace 2000-03-14 19:54:01 +00:00
dmcmahill
c10b352984 Initial import of dinotrace-9.0g
Dinotrace is a tool designed to aid in viewing Verilog Value Change
Dump (.vcd), ASCII, Verilator, Tempest CCLI, COSMOS, Chango and Decsim
Binary simulation traces.  It is optimized for rapid design debugging using
X-Windows Mosaic.
2000-03-14 19:52:47 +00:00
wiz
74874e66d9 remove trailing `.' 2000-03-09 13:47:31 +00:00
dmcmahill
e5caff965d fix a patchfile bug which caused parse.cc to be compiled twice. 2000-03-07 20:36:51 +00:00
dmcmahill
5f329b228c fix a bug in one of the patches that caused parse.cc to be built twice. 2000-03-07 18:24:48 +00:00
dmcmahill
30e0937c76 add and enable verilog-current 2000-03-07 16:10:37 +00:00
dmcmahill
1a0394f519 Initial import of verilog-current. This pkg is for the development snapshots
of the cad/verilog package.  Development snapshots are created quite frequently
in between stable releases.
2000-03-07 16:09:15 +00:00
dmcmahill
e911e8c42d Update to the released version 0.2 of verilog. I will be creating a seperate
verilog-current pkg to track development snapshots.

This version has minor bug fixes over the previous snapshot package.  Notable
$display of a memory element now works correctly and a bug in $readmemb has
been fixed.
2000-03-07 16:05:13 +00:00
dmcmahill
a345f65b96 add and enable gwave 2000-03-01 18:34:13 +00:00
dmcmahill
fbbf2e7d88 Initial import of gwave-19990927.
Gwave is a viewer for spice-like simulator output and other analog data

Gwave can read several file formats.  It attempts to guess file formats
based on filename, and then tries all file formats until one succedes.
These file formats are known:

CAzM transient output (*.[BNW])
HSPICE binary and ascii formats (*.tr0, *.sw0, *.ac0)
Spice2 and Spice3 "raw" output  (*.raw)
An ascii format with whitespace-seperated columns and column headings,
such as that produced by ACS (Al's circuit simulator). (*.acs, *.asc, *.ascii)

The "Export Postscript" and "Export PNM" options on the main File menu
provide the rudiments of output for inclusion in other
documentation.  They and simply write out files called gwave_out.ps and
gwave_out.pnm into the current directory.  In the future, a dialog box
will allow configuring the print and export output.
2000-03-01 18:33:24 +00:00
wiz
f895e15bb9 remove commented out SUBDIR += lines for packages that never got
converted from FreeBSD, or have been disabled since. Sorted lines
alphabetically, added some missing directories.
2000-02-25 01:04:11 +00:00
rh
cf664af401 Update gEDA to 20000220.
Changes include:

 * New dialog boxes by Matt Ettus:
   - A much improved attribute edit dialog box
   - A multiple attribute edit dialog box

 * Improved Hierarchy Support:
   - Hierarchy/Down Schematic
   - Hierarchy/Down Symbol
   - Hierarchy/Up

 * Text alignment.

 * Attributes are now required to have no spaces besides
   the equals sign on each side.  This shouldn't cause
   any problems for anybody.

 * Bunch of updates to the various gnetlist backends
   (basically all submitted changes have been integrated).
   Integration of JM Routoure's PCB backend work (Thanks!).
   Bug fixes and improvements by Matt Ettus, Stefan
   Petersen and Bas Gieltjes.

 * Added a bunch of contributed symbols.  Thanks to
   all that have contributed!  There are now 566 symbols
   in the library.

 * Documentation.  There are the beginnings of docs now.
   Here's the current list:
         attributes.txt -- Master attribute list
         fileformats.html -- gEDA file formats
         gschem.txt -- The start of a serious user's
         guide keymapping.html -- Stefan's keymapping
         document netattrib.txt -- A HOWTO on the net=
         attribute symbols.html -- The ever useful symbol
         creation guide

 * Bug fixes and improvements to some of the utils.

 * Lots and lots of bug fixes (and bug introductions).
2000-02-22 08:38:51 +00:00
rh
7364c75e77 Add and enable oregano 2000-02-16 20:56:41 +00:00
rh
a47a88d8a2 Initial import of oregano-0.11, an application for schematic capture and
simulation of electrical circuits
2000-02-16 20:56:05 +00:00
dmcmahill
81c179bc22 update package to verilog-20000212. This release incorporates most of the
NetBSD pkgsrc patches to the previous release.  Thanks to Stephen Williams
(the author) for his willingness to accept patches!
2000-02-14 22:55:31 +00:00
wiz
3333ba9b64 remove unnecessary article 2000-02-05 18:18:35 +00:00
dmcmahill
cfa3085942 add and enable cascade 2000-01-26 17:10:09 +00:00
dmcmahill
35d2c4cab8 Initial import of cascade-1.3.0
Cascade is a program for analyzing the noise and distortion
performance of a cascade of elements in an electronic system. A
typical application of cascade is the analysis of a receiver. A text
description of the receiver block diagram consisting of things like
amplifiers, mixers, and filters is entered into cascade. Each element
is characterized by its gain and optionally noise figure, and third
order intercept point. The program then analyzes the system and
produces a report detailing the performance at each stage.

A summary is produced which shows the relative contributions to the
total system performance of each block.  This allows easy
identification of what limits system performance.
2000-01-26 17:09:28 +00:00
dmcmahill
ed85ae5f6b add and enable verilog 2000-01-26 15:29:32 +00:00
dmcmahill
2530131eeb Initial import of Icarus Verilog.
Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
compiler, compiling source code writen in Verilog (IEEE-1364) into some target
format. For batch simulation, the compiler can generate C++ code that is
compiled and linked with a run time library (called "vvm") then executed as
a command to run the simulation. For synthesis, the compiler generates
netlists in the desired format.

The compiler proper is intended to parse and elaborate design descriptions
written to the IEEE standard IEEE Std 1364-1995. This is a fairly large and
complex standard, so it will take some time for it to get there, but that's
the goal. I'll be tracking the upcoming IEEE Std 1364-1999 revision as well,
and some -1999 features will creep in.
2000-01-26 15:28:40 +00:00
dmcmahill
d142cd570c enable acs 2000-01-24 22:14:13 +00:00
dmcmahill
1147c1c9c0 Initial import of acs-0.25
ACS is a general purpose circuit simulator.  It performs nonlinear
dc and transient analyses, fourier analysis, and ac analysis
linearized at an operating point.  It is fully interactive and
command driven.  It can also be run in batch mode or as a server.
The output is produced as it simulates.  Spice compatible models
for the MOSFET (level 1,2,3,6) and diode are included in this
release.

Since it is fully interactive, it is possible to make changes and
re-simulate quickly.  The interactive design makes it well suited
to the typical iterative design process used it optimizing a circuit
design.  It is also well suited to undergraduate teaching where
Spice in batch mode can be quite intimidating.  This version, while
still officially in beta test, should be stable enough for basic
undergraduate teaching and courses in MOS design, but not for
bipolar design.

In batch mode it is mostly Spice compatible, so it is often possible
to use the same file for both ACS and Spice.
2000-01-24 22:13:22 +00:00
abs
df05aef71f Strip trailing '.', and/or leading '(a|an) ' 2000-01-05 15:37:50 +00:00
rh
6f76911baf Add conflict with gEDA versions < 19991011. 2000-01-02 23:28:33 +00:00
rh
05ba44a75e Update geda to 19991011. Changes are tons of bugfixes and feature
enhancements.  Most notably, gEDA was split into several independent
modules, using a common library 'libgeda'.  These modules are now separate
packages with geda now becoming a meta package.
2000-01-02 23:09:49 +00:00
rh
a9040c1529 Add and enable geda-docs. 2000-01-02 23:01:27 +00:00
rh
45a75d58c2 Initial import of geda-docs-19991011, containing HTML documentation for
gEDA.
2000-01-02 23:00:00 +00:00
rh
4199326e08 Add and enable gsymcheck. 2000-01-02 22:54:12 +00:00
rh
df66909af0 Initial import of gsymcheck, a gEDA symbol checker. 2000-01-02 22:53:25 +00:00
rh
5340075b7d Add and enable gnetlist. 2000-01-02 22:51:19 +00:00
rh
69117eb312 Initial import of gnetlist, the gEDA netlist utility. 2000-01-02 22:41:23 +00:00
rh
8fd8de009c Add and enable gschem. 2000-01-02 22:33:17 +00:00
rh
beac8447ca Initial import of gschem-19991011, a schematic capture program. 2000-01-02 22:30:25 +00:00
rh
529cae6807 Add and enable geda-utils. 2000-01-02 22:21:50 +00:00
rh
ed08efee0b Initial import of geda-utils-19991011, a set of utilities for gEDA. 2000-01-02 22:20:52 +00:00
rh
3d84a1675f Add and enable geda-symbols. 2000-01-02 22:07:01 +00:00
rh
f71b6a6a05 Initial import of geda-symbols-19991011, a library of schematic symbols for
gEDA.
2000-01-02 22:05:39 +00:00
rh
f299ab6f7f Add and enable libgeda. 2000-01-02 22:00:51 +00:00
rh
f08234c781 Initial import of libgeda-19991011, a library of shared modules for gEDA. 2000-01-02 21:59:48 +00:00
wiz
2a93525194 replaced some commands by their ${COMMAND} counterparts 1999-12-28 04:29:52 +00:00
dmcmahill
3afd4d8f15 add and enable xchiplogo 1999-12-23 03:58:47 +00:00
dmcmahill
6ee94135f2 initial import of xchiplogo-19991222
note that the version number is the date when I grabbed the
sources.  There is no "official" version included in the
sources.

Xchiplogo reads an ascii bitmap file, and converts it into a
magic  or cif file. It is a handy program for creating logos
of text or graphics for  putting  on  VLSI  chips.   At  the
moment  it  accepts  the  B&W dithered format of XV as the
input. It has got quite a few options for resizing and  get-
ting rid of many design rule errors that can be found in the
bitmap file. It has  a smoothing, before and after an  error
correction  step. The error correction step is pretty simple
,don't expect miracles, but it works quite  fine  and  spe-
cially for text gives a reasonable output.
1999-12-23 03:57:11 +00:00
rh
2fda894ed9 Fix patch to apply without fuzz. 1999-11-12 14:48:20 +00:00
tron
29630e4c9c Use wildcard dependence for "gtk+" package. 1999-10-24 16:42:30 +00:00
dmcmahill
0275e14af4 fix bad patch-sum 1999-10-01 17:11:54 +00:00
dmcmahill
fe4bb072fe - added missing -Wl,-Rpath for the X11 libraries
- fixed program version number reported when spice is run to make it consistent
  with the version of the program.
- several patches to fix compilation warnings due to missing header files and
  some inconsistent variable types.
- broke out previous patch-aa which patched several files into 1 patch per file.
- fixed some code which returned the address of a local char array variable.
- added GNU readline support (a huge improvement in the interface)
- changed USE_X11BASE to USE_X11.  No reason to install into X11BASE.
- removed 'x' target from package Makefile
1999-10-01 17:05:14 +00:00
dmcmahill
0bbf6ad243 add & enable spiceprm 1999-09-30 15:15:00 +00:00
dmcmahill
1baf4d2fec Import spiceprm-0.11 package.
A Spice preprocessor for parameterized subcircuits
1999-09-30 15:13:25 +00:00
jlam
bb07397ce7 Update dependency on guile to 1.3.2. 1999-08-29 21:50:20 +00:00
rh
6c7e0a91ff Update dependency on gtk+-1.2.4 1999-08-28 09:43:06 +00:00
agc
a910a6fd62 Add package patch-sum files 1999-07-09 13:50:05 +00:00
drochner
27f1a8cb27 change dependencies to updated qt-1.44 1999-06-04 15:39:08 +00:00