Commit graph

1056 commits

Author SHA1 Message Date
dmcmahill
dfb08d39f8 fix this package when building with sunpro compilers 2007-01-23 02:49:23 +00:00
dmcmahill
cc1cd37483 Get this going with sunpro compilers. Gets rid of
Error: An integer constant expression is required within the array subscript operator.
VS: ----------------------------------------------------------------------
2007-01-22 17:41:17 +00:00
rillig
00af75a99a LOCALBASE should be used instead of PREFIX when referring to existing
files. This allows setting INSTALLATION_PREFIX for the package.
2007-01-20 01:47:00 +00:00
dmcmahill
c51e250cd9 add missing USE_PKGLOCALEDIR which broke some of the wcalc pacakges on solaris 2007-01-17 14:19:43 +00:00
dmcmahill
6428b177fd Instead of hardcoding 'gcc' as the c++ compiler (not g++ but gcc), use
AC_PROG_CXX to find a c++ compiler.  This fixes building with sunpro.
The result seems to run ok.  Patches submitted upstream.
2007-01-16 17:13:37 +00:00
dmcmahill
6f3772be2d Add an option to allow a batch mode pcb to be built. Useful for webserver
environments.
2007-01-09 11:55:52 +00:00
rillig
8ce2367823 Fixed PKGMANDIR. 2007-01-08 21:54:51 +00:00
joerg
888d84321c Modular Xorg support. 2007-01-08 17:58:08 +00:00
wiz
5321308ece PKGREVISION bump for flac shlib major bump and corresponding ABI
depends bump.
2007-01-07 12:25:50 +00:00
rillig
2829e658f2 Mechanically replaced man/* with ${PKGMANDIR}/* in the definition of
INSTALLATION_DIRS, as well as all occurrences of ${PREFIX}/man with
${PREFIX}/${PKGMANDIR}.

Fixes PR 35265, although I did not use the patch provided therein.
2007-01-07 09:13:46 +00:00
rillig
765063e1fd Fixed file permissions. 3270 files had been installed world-writable.
PKGREVISION++
2007-01-02 13:44:23 +00:00
dmcmahill
dd33717eb4 fix corrupted patch 2006-12-24 10:20:34 +00:00
dmcmahill
16d34848fe Fix compilation with non-gcc compilers such as the sun studio
compiler.  Patch is already in the upstream sources.
2006-12-21 02:49:28 +00:00
dmcmahill
bba823b7e1 Fix this on compilers (sun studio for example) without __FUNCTION__.
Patch is already in upstream sources.
2006-12-21 00:19:54 +00:00
dmcmahill
5ac04dc30d work around the use of __FUNCTION__ in compilers that may not have it.
Builds on solaris with sun studio compilers now.  Patch already in the
upstream sources.
2006-12-20 23:43:40 +00:00
joerg
58c3144236 Mechanically replace all includes of buildlink3.mk of the following
packages with the modular Xorg equivalent. Those are falling back
to the old location by default, so this commmit doesn't change
dependencies.

graphics/xpm ==> x11/libXpm
fonts/Xft2 ==> x11/libXft
x11/Xfixes ==> x11/libXfixes
x11/xcursor ==> x11/libXcursor
x11/Xrender ==> x11/libXrender
x11/Xrandr ==> libXrandr
2006-12-15 20:32:52 +00:00
dmcmahill
221c425b9b regen 2006-11-12 14:26:47 +00:00
dmcmahill
8637b76bce Update to xcircuit-3.4.26. Provided by Shaun Amott in PR pkg/34443.
There have been many updates and minor versions between the last packaged
version and this one.  These updates have included many bug fixes including
several bugs which would cause a crash, bugs in the generated netlists,
and others.
2006-11-12 14:25:50 +00:00
mlelstv
c75642946e Theses patches were omitted from the last commit. 2006-10-28 08:46:13 +00:00
dmcmahill
bd03a0b9c2 update to 20061020
Release notes for the gEDA/gaf 20061020 snapshot

   Changes
     _________________________________________________________________

   libgeda/gschem

   libgeda  and gschem no longer use libgdgeda (which was a gEDA specific
       hack to the libgd library). gEDA/gaf now wants to use the original
       GD  library.  (Wojciech  Kazubski  and  Carlos  Nieves  Onega with
       cleanup by others)
     *
     * gEDA/gaf now compiles out of the box on cygwin. (Cesar Strauss)
     * Fixed  bug  #1553544: "New pages inadvertently created when adding
       nets in gschem" (Peter Clifton)
     * New component selection dialog box for gschem. This one is so much
       better  than  the  original  one.  Please  test  it out and submit
       comments to the mailing lists. (Patrick Bernaud)
     * The preview window is inside the file selectors again. The preview
       window has been refactored and improved. (Patrick Bernaud)
     * gschem  now places a title block (or any component the user wants)
       when a new page/window is created. This solves the common complain
       that the initial zoom is way to far out. (Carlos Nieves Onega)
     * Improvements  to  the  new  print  dialog box: Make print settings
       sticky for session. (Peter Brett)
     * Fixed  bug #1527465: Do a zoom extents for all pages when the main
       window is maximized. (Carlos Nieves Onega and others)
     * Fixed  bug  #1565433:  Added  the border in the gdk-pixbuf's image
       output. (Carlos Nieves Onega)
     * New  dialog  for  user  confirmation  before  closing  a page or a
       window.  This  is  also a great improvement over the previous exit
       confirm dialog box. (Patrick Bernaud)
     * Various language translations updated.
     * libgeda's shared library version is now 27:0:0.
     * Many more bug fixes and code cleanups. (various people)

   gnetlist
     * Fixed   PCB  cursor  related  issues  (Peter  Clifton  and  Stuart
       Brorson).
     * Minor cleanup of gnet-PCB backend. (Thien-Thi Nguyen)

   gattrib
     * Made  gattrib throw up GUI window warning user of no components or
       attributes. (Stuart Brorson)
     * File  browsers  in gattrib are now the same ones that gschem uses.
       (Patrick Bernaud)
     * The usual bug fixes and code cleanup. (various)

   docs
     * Updated the wiki snapshot to the latest web wiki version.

   symbols
     * linear/lm311-1.sym:  Removed  the  GND  false  connection  of  the
       symbol.  (Ramakrishnan  Muthukrishnan,  John  Luciani,  and Carlos
       Nieves Onega).
     * Lots of off grid symbols cleaned up. (Werner Hoch)

   gsymcheck
     * Adds  newline that is missing from the "Found Pintype=..." message
       in s_check_pintype() in s_check.c. (Jeff Mallatt and Carlos Nieves
       Onega)
     * gsymcheck  now  counts the number of distinct pinnumbers specified
       in  all  slotdef= attributes. Uses that number, plus the number of
       net=  pins, to compare with the "footprint size" when checking for
       that warning. (Jeff Mallatt and Carlos Nieves Onega).

   utils
     * Applied  patches for the cygwin port. Lots of various improvements
       to  make  gschemdoc more Windows friendly (at least under cygwin).
       (Cesar Strauss, Peter Brett, and Carlos Nieves Onega)

   examples
     * No significant changes

   For more a detailed changes, please look in the appropriate ChangeLogs
   in the source tarballs.
2006-10-23 09:43:12 +00:00
rillig
96f11bce09 Sorted. 2006-10-21 19:22:23 +00:00
rillig
252d522fc7 Fixed "test ==". 2006-10-21 19:22:12 +00:00
agc
92ed9877eb Patches from Hans Rosenfeld to make gtkwave compilable with gcc4. 2006-10-15 13:29:08 +00:00
rillig
e0461a6624 Fixed "test ==". 2006-10-14 02:18:37 +00:00
dmcmahill
63a693cf31 update to covered-current-20060904
* 09/04/2006

Development release covered-20060904 made.  This is primarily an enhanced language support release
containing support for the Verilog-2001 'generate' block and support for some SystemVerilog constructs.
All bug fixes from the stable release branch have also been included in this release as well.  Some
updates to the GUI (to match changes made on the score command side).  The following is a list of
changes made from the last development release

  - Complete parsing/simulation support for generate blocks include generate for, if/else and
    case constructs.
  - Fixed bug in hierarchically referencing items within an array of instances.
  - Added -g option to score command to allow the user to specify on either a global or modular
    level which Verilog generation to consider for that design.  This allows a block of logic written
    with Verilog-1995 in mind to use names that would be keywords in Verilog-2001 or SystemVerilog,
    as an example.
  - Removed "manstyle" type documentation in user's guide as this tool is no longer used for this
    project.  This change should be transparent to the user, however.
  - Fixed scoping/hierarchical referencing rules to match the Verilog LRM properly.
  - Added parsing/handling support for SystemVerilog always_comb, always_ff and always_latch blocks.
  - Added parsing support for 'unique' and 'priority' SystemVerilog keywords before if and case
    statements (Covered doesn't need to do anything with them, however).
  - Added parsing/handling support for 'do .. while' SystemVerilog loops.
  - Added parsing/handling support for new SystemVerilog data types, including:  byte, bit, logic,
    char, shorting, int and longint.
  - Added -rI option to the score command which allows the user to completely bypass the race
    condition checking phase of the score command.
  - Added -B global option which obfuscates all identifying names from Covered's output (for use
    in providing debugging information to the developer's of Covered).
  - Added parsing/handling support for operate-and-assign SystemVerilog operators, including:
    +=, -=, *=, /=, %=, &=, |=, ^=, <<=, >>=, <<<=, >>>=, ++ and --.  These can be used wherever
    their counterparts can be used (including generate for loops).
  - Added proper handling of Verilog-1995 delayed blocking assignments (i.e., "a = #5 b;" or
    "a = @(posedge clk) c;").  Previously, the delay was being incorrectly ignored which could
    have lead to infinite looping of always/forever blocks or could calculate incorrect coverage
    information.
  - Added parsing support for SystemVerilog .name and .* port lists.
  - Added partial parsing/handling support for SystemVerilog 'typedef' usage.  This should work for
    enumerations but not other data types at this point.
  - Added parsing/handling support for SystemVerilog 'enum' constructs.  These should be fully
    supported with the exception of their built-in '.first', '.last', '.next', '.prev', '.num' and
    '.name' methods.
  - Added full support of handling Verilog-1995 repeated delay blocking assignments (i.e.,
    "a = repeat(5) @(posedge clk) b;".  These were previously being treated as normal blocking
    assignments.
  - Added keyword highlighting support in GUI for Verilog-2001 and SystemVerilog keywords depending
    on the -g value specified for a particular module.
  - Added parsing support for SystemVerilog assertion, property and sequence blocks.  These constructs
    are ignored by the parser but should not cause a parsing error now.
  - Added parsing support for SystemVerilog multi-dimensional arrays.  These are ignored by the
    parser but should not cause an error.
  - Added full support for the SystemVerilog $root global space -- though limited testing has
    been performed with this at this point.
  - Added -s option to the report command to suppress the output for modules/instances that contain
    no coverage information.
  - Updated all user documentation to match changes made for this development release.
  - Lots of new diagnostics added to regression suite to verify the majority of these changes.

There you have it.  A lot of enhancements made for language support for Verilog-1995, Verilog-2001
and SystemVerilog.  Some of the additions for SystemVerilog, especially typedefs and $root global
space, have not been fully verified to work and may still be a bit buggy, but everything else should
be expected to work as advertised.  Please submit any bugs that you find.  The next development
release should contain support for some more language enhancements, including full support for
typedef and enumeration usage, support for memories, multi-dimensional arrays, structs and unions.
I will also be looking at adding support for bitwise coverage information (for vectored calculations).
As always, have fun!
2006-10-12 03:13:30 +00:00
dmcmahill
11b9570188 update to covered-0.4.7.
* 08/30/2006

Stable release covered-0.4.7 made.  This release is mostly a bug fix release with two feature
additions.  The new feature is the global -B option was performs name obfuscation on all identifying,
design-sensitive names from all output (with the exception of CDD file output).  This option is
mostly useful as a way to share debugging information with the maintainer(s) of Covered without giving
away sensitive information.  Additionally, the -rI score option was added to allow user's to completely
skip the race condition checking phase.  This allows users to force Covered to consider all code for
coverage (if race condition checking is performed, all code considered to be potential race conditions
are automatically excluded from coverage consideration).  User documentation has been updated for these
changes.  The following lists the changes in this release.

  - Fixed bug 1535412.  Implicit event expressions (i.e., "always @*") now traverse named begin/end
    blocks correctly to search for RHS variables.
  - Fixed bugs related to segmentation faults and memory leak issues
  - Fixed hierarchical reference search to match Verilog-1995 LRM
  - Fixed bug 1538922.  If -vcd or -lxt option was specified twice, an incorrect error message was
    displayed to the user.
  - Added -rI option to allow user's to bypass the race condition checking step in the score command.
  - Fixed bug 1538920.  Handling of any-edge triggered events (i.e., "@(b)") was incorrectly handled
    by Covered.  Additionally, fixed the event trigger operator (->) to work correctly with the new
    changes.
  - Fixed bug 1541944.  Command options that require a value are now checked to make sure that a value
    exists, and an appropriate error message is output if this is not achieved.
  - Fixed bug 1542454.  Command options that must only be allowed once on a command-line are now
    checked and handled appropriately (caused a segmentation fault previously).
  - Fixed bug 1544322.  When an AND- or OR-type expression has either a left or right expression evaluate
    to 0 or 1, respectively, Covered now outputs correct coverage information when the opposite expression
    evaluates to an X or Z value, simultaneously.
  - Fixed bug 1544325.  Multi-variable expressions are now always output as such in report files.
  - Fixed bug 1544169.  Avoiding consideration of named begin/end block keywords in line coverage.
  - Fixed bug 1546059.  Covered now properly handles the removal of statement blocks that cannot be
    considered for coverage which also contain parameters from another functional unit block.
  - Fixed bug with connection of statements in a statement block.
  - Updated documentation to match new features added in this release.

    * 07/22/2006

Stable release covered-0.4.6 made.  This release contains several bug fixes that are listed below.

  - Fixed segmentation fault bug which occurs when a multi-bit select on the LHS contains any
    expression besides static values.
  - Fixed several memory leaks found with valgrind
  - Fixed bug 1520159 - arrays of instances incorrectly always started at index 0.
  - Fixed bug 1521598 having to do with reduction unary invert and logical not operators not
    calculating correctly.
  - Fixed bug in db_read when a functional unit is being merged to point to the used module.
  - Fixed assertion in link.c such that no segmentation fault occurs if a string is not found
    in a str_link list for deletion.
  - Fixed bug pertaining to embedded concatenations within function/task parameter lists.
  - Fixed bug pertaining to empty named begin-end blocks.
  - Fixed bug in the db_read function that would cause Covered to flag an internal assertion
    error if an error occurred while reading the CDD file and the global -D option was specified.
  - Fixed upwards name referencing bug (1524705).
  - Enhanced regression suite to verify that all of these bugs are properly fixed.

    * 07/08/2006

Stable release covered-0.4.5 made.  This release contains a bug fix to properly handle cases
where two or more signals are declared with a parameter in their range field (i.e., reg [FOO:0] a, b;)
This caused Covered to segfault due to attempting to free the same address twice.  Bug 1518932.
Also contains a bug fix to properly remove statement blocks that contain case, casex or casez
expressions and unbindable expressions.

    * 5/28/2006

Stable release covered-0.4.4 made.  This release contains a bug fix to proper handle hierarchical
referencing of parameter values.  This feature was technically not supported in the past but caused
an internal assertion error when this was performed.  The feature is now fully supported.

    * 4/21/2006

Stable release covered-0.4.3 made.  This release contains a bug fix to the statement connection function that
caused segmentation faults during the score command.  Also added support for big endian wires/regs.  This
information was being ignored by the parser and, consequently, was not being handled correctly by Covered's
internal simulator, leading to incorrect coverage information.  The lack of this support was also causing
an internal error in the memory allocation routine when scoring the dumpfile.

    * 4/17/2006

Stable release covered-0.4.2 made.  This release contains a bug fix that caused an assertion error in the
binding.c source file to occur.  The reason for this assertion was a syntax error in the parser that caused
problems when more than one task call was made in a statement block.  Also added support for multi-line
definitions (i.e., a '\' character used at the end of a definition line).  This was missing but was not meant
to be missing.

    * 4/4/2006

Stable release covered-0.4.1 made.  This release contains one bug fix that causes an assertion error when
compiling designs that use a concatenation operation on the left-hand-side of assignment statements.  If
you are experiencing this problem with the 0.4 release, it is recommended that you use this new release
instead.

    * 3/29/2006

Stable release covered-0.4 made.  In addition to all of the features, optimizations and bug fixes
that have gone into the development releases from the 0.3 stable release, the following features, updates
and bug fixes have been added.

  - Fixed bug with a statement connection issue that causes lines of code to be not considered for
    coverage that should have been.
  - Fixed bug in report command where combinational expressions were not being output to match the original
    Verilog code.
  - Added CDD file viewer window to GUI to allow the user to see which CDD files are currently loaded/merged.
  - Fixed bug in combinational logic verbose viewer which caused the window to resize dependent upon
    the location of the cursor (this was an annoyance)
  - Changed the output of simple combinational logic to change to unary combinational logic output if either
    the left or the right expression was a constant value (eliminates unachievable combinational logic cases
    from being output leading to more accurate coverage results).
  - Removed combinational expressions that contain only constant values from being considered for coverage.
  - Updated simple combinational logic output in reports to be as concise as possible for AND and OR type
    expressions.
  - Removed duplication of information in CDD files for race conditions.
  - Fixed bug in GUI dealing with showing race conditions
  - Fixing bug in GUI pertaining to the next/previous buttons in the combinational logic detail viewer.  Previously,
    clicking on one of these buttons would only advance you to the next uncovered line.  Now it will advance
    you to the next uncovered statement.
  - Updated development, user and GUI documentation to reflect the above changes and to bring them up-to-date
    with the rest of the tool.

Please see the ChangeLog file for all changes made from the 0.3 stable release to the 0.4 stable release.
Lots of enhancements, features, optimizations, bug fixes, performance improvements and documentation improvements
are contained in this stable release, making it very worth while for any Covered users to get their hands on
it.
2006-10-12 03:11:18 +00:00
dmcmahill
c5055365e8 Fix compilation on solaris and probably other systems with a posix
wait().  Patch (or equivalent) will be applied upstream.
2006-10-11 05:03:49 +00:00
dmcmahill
ee7b97c8b8 update to verilog-current-20061009
* Release Notes for Icarus Verilog Snapshot 20061009

The Big news is support for delay path timing is specify blocks. This
includes delay paths and specparams. Back annotation of specify path
timings are not yet implemented.

The "-g" flag has been made a bit more general so that individual
compiler features can be turned on/off. This for example allows for
turning off specify block support and Icarus Verilog extensions, as
well as select language generation.

A variety of bug fixes have been included.
- Missing symbols on Windows fixed.
- mingw build instructions reworked.
- Fix internal handling of -D__ICARUS__ define
- Fix crash of driver when -M flag is used.
- Fix configure detection of host in some subdirectories.
- Handle non-constant delays of index non-blocking assignments.
- $scanf support for real values.
- Fix scheduling of RWsync vs. ROSync callbacks.
- Fix vpi_put_userdata return value.

The iverilog-vpi command now allows .cpp files to stand for C++
source.
2006-10-10 00:19:49 +00:00
mlelstv
bf486336c3 Make package compile with gcc-4. 2006-10-09 20:04:38 +00:00
dmcmahill
7170cf5e3e update to verilog-0.8.3
** Release Notes for Icarus Verilog 0.8.3

This is a new release of the stable 0.8 branch. The changes from 0.8.2
are intended to be evolutionary, rather then revolutionary, to enhance
the stability of the branch.

Various simulator bugs have been fixed, including (but not limited to):
- Detect overrun of timescale vs. precision
- Handle more operators in constant expressions
- Various ivl crashes and panics fixed.
- Some performance bottlenecks have been fixed.
- Various tool compilation problems have been fixed.

Also, the internal synthesizer (for synthesis targets) has been
considerably improved. NOTE that the code generators have not been
improved to take advantage of all the changes here, so there is work
yet to be done.

The mingw build process for compiling in Windows has been reworked. It
is now possible (indeed preferable) to compile fully native Icarus
Verilog binaries on Windows with no Cygwin tools at all.
2006-10-04 23:52:47 +00:00
wiz
18e3283474 Update MASTER_SITES and/or HOMEPAGE, from Sergey Svishchev. 2006-10-04 20:46:42 +00:00
rillig
e5c87a8069 Sorted PLIST. 2006-10-01 13:53:30 +00:00
rillig
32702d1ed4 Replaced mv/sed with SUBST_*. Reindented some Makefile directives. 2006-10-01 13:52:17 +00:00
dmcmahill
ef4a28a299 remove some dead MASTER_SITES 2006-09-28 00:40:32 +00:00
dmcmahill
de93e4f00b add DISTFILES= #empty as this is just a meta-pkg now 2006-09-24 17:15:35 +00:00
joerg
a8051c6c8e Fix build with newer cmake. 2006-09-23 14:08:10 +00:00
rillig
0a68ba3c41 Fixed a shell interpreter to /bin/sh. 2006-09-17 17:36:35 +00:00
dmcmahill
51060c3919 Update to 20060906 snapshot. Fixes a crashing bug in the gschem autosave
code.
2006-09-10 19:47:54 +00:00
obache
e896edb7fe Rename variable MAKEFILE to MAKE_FILE. 2006-09-09 02:41:53 +00:00
dmcmahill
7e59c41bb2 Update to 20060824
------------------------

Release notes for the gEDA/gaf 20060824 snapshot

   Changes
     _________________________________________________________________

   libgeda/gschem

     * Updated libgeda's so version to 26:0:0.
     * Fixed  the  infamous  "slice"  memory  problem  that  was plaguing
       gEDA/gaf  for a while. Mixing malloc/free and g_malloc/g_free is a
       bad  idea  and also setting a field in deallocated memory tends to
       upset glib's memory management.
     * Added  a  new  rc variable called "always-promote-attributes" that
       takes  a string of attribute names that are automatically prompted
       when  a  component  is placed on a schematic. The default list is:
       "footprint device value model-name".
     * Newly  rewritten  postscript output to be much more compact, sane.
       and support unicode (Mike Jarabek).
     * gschem  now  uses  stock  gtk+  icons if they are available (Tomaz
       Solc).
     * Improved  the  robustness  of  the  loading  of symbols/schmeatics
       (Tomaz Solc).
     * Added  support  for  panning  using  the  middle  mouse button and
       controlling the amount of pan (Peter Clifton).
     * Changed the default behavior of the middle button (now mouse pan).
     * Added  cursor key panning in gschem and rc keywords for controling
       the amount of pan (Tomaz Solc).
     * Added  the  ability  to zoom in and zoom out using the mouse wheel
       (Peter Clifton).
     * Added  a  bunch  of new hooks to gschem and libgeda. This supports
       various  new  features such as auto pin attributes, auto attribute
       placing    (currently   commented   out),   select_component_hook,
       select_net_hook,    deselect_component_hook,    deselect_net_hook,
       deselect_all (Carlos Nieves Onega and Dan McMahill).
     * Added  support for dragging an object using the first mouse button
       in gschem (Carlos Nieves Onega).
     * Added the beginnings of pcb mode to gschem (Dan McMahill).
     * Improvements  to  the autosave and backup mechanism (Carlos Nieves
       Onega).
     * Added support for text overbars. The delimiter character is \_ (it
       should  be  at  the  beginning  and  end of the where you want the
       overbar to be (Carlos Nieves Onega). Postscript output support for
       overbars (Mike Jarabek).
     * Bug fixes to the auto save mechanism (Carlos Nieves Onega). Please
       report any more crashes.
     * Fixed  component update to work correctly since it was looking for
       existing attributes in the wrong places.
     * Converted all the noweb code to just plain C (making sure that all
       the   really   nice  documentation  that  Patrick  and  others  is
       perserved).  gEDA/gaf  no  longer  requires  noweb to build (Jason
       Childs).
     * Removed all pre-GTK 2.x code from gEDA/gaf (Peter Brett).
     * Translation updates from various people.

   gnetlist
     * DRC2 backend bug fixes and improvements (Carlos Nieves Onega).
     * Various bug fixes (Werner Hoch and others).

   gattrib
     * Fixed  annoying  bug  in  which gattrib soaked up 70% of processor
       time (Stuart Brorson).
     * Implemented  ability to export CSV file of component sheet (Stuart
       Brorson).
     * Made   cell   text   different  colors  depending  upon  attribute
       visibility (Stuart Brorson).
     * Applied  patch  to  handle  attrib  strings  with multiple = signs
       (Stuart Brorson and Jeff Mallatt).
     * Misc bug fixes (Stuart Brorson).

   symbols
     * Many symbol bug fixes and improvements (Werner Hoch and others).
     * Improved  the  NC  symbols  so  that  the  drc2 backend handles no
       connect situarions better (Carlos Nieves Onega).

   gsymcheck
     * Various  bug  fixes  and  improvements  (Carlos  Nieves  Onega and
       others).

   utils
     * gsch2pcb   improvements   dealing   with   how  to  find  gnetlist
       executable.
     * Updated to the latest version of grenum (Levente Kovacs).
     * Applied power pin handling patch (Patrick Doyle).

   docs
     * Obsoleted  all  latex documentation and replaced it with a snaphot
       of the gEDA wiki. Many thanks to David Hart for the conversion and
       necessary support to make this conversion possible.

   examples
     * No significant changes.

   misc
     * The   geda   (gManager)   source   tarball  is  not  longer  being
       distributed.  All the code is still in the CVS repository, but due
       to  lack  of  maintanance,  it  has been pulled for these snapshot
       releases.
     * Fixed various Makefiles to support make distcheck again.
     * Lots  of  bug fixes by (Werner Hoch, Carlos Nieves Onega, and many
       others).

   For more a detailed changes, please look in the appropriate ChangeLogs
   in the source tarballs.
2006-09-01 12:00:42 +00:00
rillig
d4bdcab560 Renamed one more instance of "signal" to "signal_s" to fix the build on
NetBSD.
2006-08-31 17:26:42 +00:00
joerg
0aca171729 Get DragonFly compilation a bit farther. 2006-08-30 07:28:26 +00:00
joerg
ac7c18b438 Fix a typo. 2006-08-28 10:06:59 +00:00
dmcmahill
46d2f6960e I do not have the time or c++ skills to keep maintaining this package. 2006-08-24 00:01:27 +00:00
dmcmahill
ab9c8e7d3d update to pcb-20060822
========================================================================
Release Notes for PCB snapshot 20060822
========================================================================
- various manual updates
- update the INSTALL documentation
- fix a bug where pins turned into pure holes when an element
  was smashed.
- use locale-independent strtod and dtostr functions to avoid problems
  loading files saved in some locales.
- fixed various bugs which caused pcb to crash
- update the gtk status line after save/save as
- make the gerber file names be compatible with pre-HID versions
- split plated and unplated drill output
- fix various typos in menus and comments
- add a batch mode HID.
- fix drawing of box around text in the buffer
- various fixes and improvements to the trace optimizer and the
  autorouter
- fix board flipping code
- fix rounding bug when creating list of drill sizes
- add support for > 8 copper layers
- avoid strict aliasing violations encountered with gcc4
- refactor code related to handling the filenames in the export
  HID's
- fix layer group parsing code
- add the ability to user-configure mouse actions in the lesstif GUI.
- enable loaded netlists by default
- improve the positioning of the drill list in the postscript output
- various improvements to the configure script to improve robustness
  and improve error messages when thing don't go well.
- add IPC style footprints for 01005 passives.
- added a Renumber() action which will renumber all reference designators
  and produced an annotation file for backannotating to schematics.
- Draw via annulii on assembly drawings
- various other bug fixes and improvements.
2006-08-23 11:26:44 +00:00
joerg
a60ccb5e5d Naming a type "signal" is a bad idea since a compatibility function of
that name exists and GCC 3.4 complains about it. Rename it to signal_s.
2006-08-22 17:00:24 +00:00
dmcmahill
087c501d1c Update to 20060809 snapshot. There have been several changes since the
last packaged snapshot.  Those are:

--------------------------------------------------
Release Notes for Icarus Verilog Snapshot 20060215
--------------------------------------------------

* Part select of memory words should now work according to
  Verilog-2001. This also led to some cleanup of the handling of types
  internally, as well as some infrastructure for general arrays.

* Minor fix to parsing of (* *) attributes.

* Fix rounding of reals to integers.

* Clean up some of the vvp engine related to memories. Remove some
  dead instructions.

--------------------------------------------------
Release Notes for Icarus Verilog Snapshot 20060409
--------------------------------------------------

the most substantial difference in this snapshot the first signs of
generate support. The compiler now supports generate loops and has
been tested with examples that include wires and gates within the
generate scheme. The regression test suite has very few generate
tests, so any concise self-testing test programs that use generate
would be helpful.

Also, instance arrays that use overridden parameters now work
properly.

Task arguments are a bit more flexible in order to support vendor
(notably Xilinx) models that use more interesting task arguments.

Runtime support for bi-directional ports had some bugs fixed, along
with some other minor run-time bugs. Also, the runtime gains support
for typed parameters. And also, there are some new runtime callbacks
for events and memories.

Parameters had a few types related bugs fixed. They are a bit more
flexible now.

And various minor compilation errors have been fixed. This includes
C/C++ compilation errors fixes, and some configure/Makefile tweaks.

--------------------------------------------------
Release Notes for Icarus Verilog Snapshot 20060618
--------------------------------------------------

Add support for system functions in continuous assignments.

Allow concatenations as arguments to inout ports. This comes with a
small variety of internal part select and concatenation bug fixes.

Fix some bugs in constant propagation through ternary expressions.
Fix broken subtraction if small constants in certain cases.
Fix a few datatype mismatch errors.

Make $readmem give warning when input is inadequate for requested
range.

Fix runtime of nand in continuous assignments.

Fix synchronous user defined primiteves to only follow edges.

Fix a runtime error in some thread delays processing.

Improve limited genvar expression handling.

Start a rework of expression elaboration. Make elaboration aware of
the expression context width when appropriate in order to better
handle expression width and padding.

Fix the make rules for parse.cc to reflect that they come from the
same source. Fix the autoconf.sh to configure the stub target.

Fix portability of the lexor source files on Windows systems. Get rid
of the isatty references.

Make a stub lround when the system version is missing.

--------------------------------------------------
* Release Notes for Snapshot 20060809
--------------------------------------------------

Some handling of real values is improved. Real valued literals are
handled in net contexts (continuous assignment, etc.). Also, modulus
of real operands now works. (This is an extension to the Verilog
standard.)

The power operator (**) now works.

Signed right shift works properly now.

The $sscanf and $fscanf are introduced, and work at least for basic
numeric values.

The release function now works to undo general force statements, and
not just contant force statements.

Delay constants up to 64 bits are supported. This at first doesn't
seem like an issue, but when precisions are mixed, it becomes
surprisingly easy to overflow 32bit delays.

The driver is reworked to pass many preprocessor details through a
temporary file instead of on the command line of a system(3)
call. This prevents confusing and incorrect shell processing of
complex strings passed as values to -D flags.

Various other little fixes.
2006-08-11 13:28:08 +00:00
minskim
4cd37c8b4a Remove teTeX2 from TEX_ACCEPTED, because the teTeX2 packages will be removed
shortly.
2006-08-10 03:21:39 +00:00
dmcmahill
33614edb45 update to gerbv-1.0.2
Changes are:
* Removed all build support for GTK1.
* Dino Ghilardi fixed log.c (the last reminder of GTK1) so
  log windows popup don't crash gerbv anymore.
* Dan McMahill added the GCC4 patch.
* Renamed stack_t to macro_stack_t to support darwin/MacOS X
  pointed out by Daniel Mack.
* Changed build system to suit new autoconf/automake versions.
  Caused gerbv not to find init.scm.
* Fixed a bug so gerbv can handle negative coordinates when using
  trailing zeroes.
* Better checking of return values for errors.
* Use setlocale explicit so your locale doesn't screw up sscanf
  and strtod to use comma (,) instead of dot (.).
* For distributions there is a new directory called desktop, where
  gerbv.desktop and gerbv.png is stored for desktop settings.
  Submitted to Debian bug list from Vassilis Pandis for Ubuntu.
2006-08-08 11:46:50 +00:00
rillig
f30f64a12a Fixed pkglint warnings. 2006-07-27 13:18:32 +00:00
rillig
876dc2e723 Packages that use GNU configure scripts and C++ also need a working C
compiler.
2006-07-22 05:54:52 +00:00
rillig
144a1ee175 Added "c" to USE_LANGUAGES for packages that use GNU configure scripts,
since they always need a C compiler, even when the source code is
completely in C++.

For some other packages, stated in the comment that a C compiler is
really not needed.
2006-07-22 04:46:13 +00:00
rillig
f5ffb4620a Renaming cmakelists.txt to CMakeLists.txt must be done in post-extract,
since it is the extract phase where the wrong filenames come from.
Now patch-af finds the file to patch, which it didn't before.
2006-07-20 19:16:23 +00:00
jlam
7af716330d Drop support for LTCONFIG_OVERRIDE. For quite a long time, pkgsrc
had actually been ignoring LTCONFIG_OVERRIDE anyway and just using
the default LIBTOOL_OVERRIDE to replace libtool scripts in packages.
This just formalizes the fact that LTCONFIG_OVERRIDE is not used
meaningfully by pkgsrc.
2006-07-19 19:14:37 +00:00
gson
eafe386bea Remove illegal and unnecessary cast on left side of
assignment to make it compile with gcc 4.1.2
2006-07-13 06:58:37 +00:00
rillig
98a30f7708 Sorted PLIST. 2006-07-12 17:23:51 +00:00
jlam
06be53fba4 Completely nuke the concept of PKG_PHASE from pkgsrc except for the
purposes of caching MAKEVARS within bsd.pkg.mk and bsd.makevars.mk.
2006-07-10 22:59:26 +00:00
dmcmahill
95b5435816 update to gnucap-2006-07-08.
Many improvements since the last packaged version including, but not limited
to:

- enhanced transient timestep control algorithm which is significantly more
  accurate than spice now.

- enhanced compatibility with hspice netlists

- named nodes (as opposed to numbered only nodes) work now

- improvements to the bsim3v3 model support

- migration from a custom build system to a GNU autoconf/automake based build
  system.
2006-07-09 21:56:27 +00:00
jlam
c16221a4db Change the format of BUILDLINK_ORDER to contain depth information as well,
and add a new helper target and script, "show-buildlink3", that outputs
a listing of the buildlink3.mk files included as well as the depth at
which they are included.

For example, "make show-buildlink3" in fonts/Xft2 displays:

	zlib
	fontconfig
	    iconv
	    zlib
	    freetype2
	    expat
	freetype2
	Xrender
	    renderproto
2006-07-08 23:10:35 +00:00
jlam
9430e49307 Track information in a new variable BUILDLINK_ORDER that informs us
of the order in which buildlink3.mk files are (recursively) included
by a package Makefile.
2006-07-08 22:38:58 +00:00
joerg
7032272faa Use latex2html-[0-9]* instead of latex2html. Build dependency, so no
change.
2006-06-30 07:05:16 +00:00
joerg
5bd4fae570 Add DragonFly to list of systems with libtcl84, otherwise the
library is not found and TCL gets rejected.
2006-06-26 15:24:34 +00:00
rillig
ab2296b430 Added patch-af, which makes Cmake find its source files. The package
still does not build for me (linker errors). Also, a C compiler is needed.
2006-06-26 09:24:09 +00:00
rillig
5e52e99013 CHECK_INTERPRETER_SKIP+= share/examples/* 2006-06-26 05:59:00 +00:00
joerg
8f940b6e2f Regen patchsum. 2006-06-22 14:51:44 +00:00
joerg
228d6e69f4 Regen patch-ac without context, GNU patch is too stupid to deal with
asymmetric context. Fixes PR 33783.
2006-06-21 16:21:03 +00:00
minskim
0c9ef264cc Remove conflicting declaration of calloc() and malloc(); include stdlib.h
instead.
2006-06-21 14:58:08 +00:00
minskim
d019f2c46a Make this package build with gcc-4. 2006-06-21 14:56:51 +00:00
rillig
358b2ef45f Fixed the path to the AWK interpreter of bin/spice2sim. This is needed for
all bulk builds with CHECK_INTERPRETER=yes. Bumped PKGREVISION.
2006-06-18 11:27:41 +00:00
chap
ef33035b55 Missed updating the Makefile for qcad's ancillary bits. 2006-06-17 05:05:13 +00:00
joerg
485b95de9f Needs Perl for document splitting. 2006-06-16 14:25:36 +00:00
chap
280c999ea8 Library of ~4500 assorted parts for QCad. 2006-06-15 09:29:17 +00:00
chap
3654e71cb6 Hungarian qcad manual 2.0.4.0 (2.0.5.0 did not update docs). 2006-06-15 08:59:32 +00:00
chap
b5e8836549 German qcad manual (2.0.4.0; 2.0.5.0 did not update docs.) 2006-06-15 08:54:33 +00:00
chap
5592622110 Czech version of QCad manual (2.0.4.0; 2.0.5.0 did not update docs). 2006-06-15 08:49:09 +00:00
chap
c22ba9966d English version of qcad manual (for 2.0.4.0; 2.0.5.0 didn't update docs). 2006-06-15 08:41:13 +00:00
chap
17ce381e0c qcad-2.0.5.0 Community Edition at last imported from wip. While the old
1.5.4 release was something of a toy, QCad was thoroughly reworked for 2.0
and could now be called usable for many practical purposes.

Versions 2.0.4.0 (initially) to 2.0.5.0 have been usable in wip for a bit
more than a year, and so seem ready to import.

  "Yes, that should be fine. Please watch the bulk builds for it and
   try to fix any problems that might appear." -wiz@

Updates to pkglint now produce some new suggestions, which I will make and
commit after confirming they don't break anything; at least this version I
already know to build successfully for me.
2006-06-15 08:26:58 +00:00
joerg
62ce5ca806 Needs pkg-config. 2006-06-11 14:52:23 +00:00
joerg
564da92214 Uses libtool during configure. 2006-06-11 14:20:26 +00:00
minskim
1091f4393f This package needs dlopen. 2006-06-07 23:52:17 +00:00
joerg
8d8e6618cc Uses C++. 2006-06-06 14:33:44 +00:00
joerg
c2e9b01e81 Needs Python 2.2 or later. 2006-06-02 17:10:36 +00:00
joerg
374d29ac17 Forgotten patchsum. 2006-05-31 10:25:24 +00:00
rillig
bab3be8890 For building verilog, lex is indeed needed. 2006-05-28 17:31:26 +00:00
dmcmahill
38c06ee90a remove runtime dependency on qt3-tools, it is only needed for building 2006-05-26 18:09:57 +00:00
joerg
191836bf2a Make a number of copy constructors public and use C++. 2006-05-26 09:20:02 +00:00
wiz
1a892bf368 USE_LANGUAGES=c++ 2006-05-22 23:51:13 +00:00
jlam
60f791e1e6 Move the check-shlibs target from bsd.pkg.mk to bsd.pkg.check.mk where
it will live with other "check" targets run after package installation.

Get rid of SHLIB_HANDLING, whose meaning had mutated over the years
from one thing to another.  Currently, it is used to basically note
whether the system's "ldd" command can be usefully run on the package's
binaries and libraries.  Rename this variable to CHECK_SHLIBS_SUPPORTED
for more clarity.

CHECK_SHLIBS is now a variable set exclusively by the user in /etc/mk.conf
to note whether the check for missing run-time search paths is performed
after a package is installed.  It defaults to "no" unless PKG_DEVELOPER
is set.
2006-05-22 22:22:02 +00:00
joerg
3d46cc9b9e Needs bison. 2006-05-22 19:58:48 +00:00
rillig
5f4ac92224 Fixed pkglint warnings. 2006-05-21 15:50:18 +00:00
rillig
d94844a2d6 Removed default comment. 2006-05-21 11:44:39 +00:00
rillig
76365c833f Fixed pkglint warnings. Since bison and lex are not used when building,
they don't need to be defined in USE_TOOLS.
2006-05-21 08:00:49 +00:00
joerg
9d8388fd29 Uses C++. 2006-05-14 15:22:08 +00:00
minskim
fa5157ed20 Remove references to teTeX1. 2006-05-13 03:44:23 +00:00
dmcmahill
f102f15fe5 update to verilog-0.8.2. Adds edif output, contains several bug fixes for
compatibility with more c++ compilers.
2006-05-06 19:13:55 +00:00
drochner
4565c457e6 update MyHDL to 0.5.1
There is no usable changelog; I've found one real bug closed in the
tracker: A verilog '>>>' is generated as appropriate for signed numbers.
2006-05-04 16:58:05 +00:00
dmcmahill
30cdb0b6da add missing LICENSE. Noted by Greg Troxel 2006-05-02 02:27:23 +00:00
dmcmahill
c900de7d35 Use an options group for the gui selection (gtk vs motif). Suggested
by Thomas Klausner.
2006-04-25 00:51:11 +00:00
dmcmahill
c1145c2df3 update to pcb-20060422. This release represents a fairly major overhaul
of PCB.  In particular, the core code has been isolated from the GUI code
and there are now both GTK and Motif/Lesstif GUI's available.  In addition,
command line exporting to RS-274-X, postscript, encapsulated postscript and
png are directly supported.

In addition, there have been many bug fixes since the last packaged snapshot
release.
2006-04-23 03:02:26 +00:00
rillig
9fc2d7d281 Removed the superfluous "quotes" and 'quotes' from variables that don't
need them, for example RESTRICTED and SUBST_MESSAGE.*.
2006-04-22 09:22:05 +00:00
wiz
02f8f7c52d Bump BUILDLINK_ABI_DEPENDS.png and PKGREVISION for png-1.2.9nb2 update. 2006-04-17 13:45:57 +00:00
jlam
ea5f9f80b6 Strip ${PKGLOCALEDIR} from PLISTs of packages that already obey
PKGLOCALEDIR and which install their locale files directly under
${PREFIX}/${PKGLOCALEDIR} and sort the PLIST file entries.  From now
on, pkgsrc/mk/plist/plist-locale.awk will automatically handle
transforming the PLIST to refer to the correct locale directory.
2006-04-17 07:07:11 +00:00
rillig
96fc47c14f Aligned the last line of the buildlink3.mk files with the first line, so
that they look nicer.
2006-04-12 10:26:59 +00:00
reed
5abef9be14 Over 1200 files touched but no revisions bumped :)
RECOMMENDED is removed. It becomes ABI_DEPENDS.

BUILDLINK_RECOMMENDED.foo becomes BUILDLINK_ABI_DEPENDS.foo.

BUILDLINK_DEPENDS.foo becomes BUILDLINK_API_DEPENDS.foo.

BUILDLINK_DEPENDS does not change.

IGNORE_RECOMMENDED (which defaulted to "no") becomes USE_ABI_DEPENDS
which defaults to "yes".

Added to obsolete.mk checking for IGNORE_RECOMMENDED.

I did not manually go through and fix any aesthetic tab/spacing issues.

I have tested the above patch on DragonFly building and packaging
subversion and pkglint and their many dependencies.

I have also tested USE_ABI_DEPENDS=no on my NetBSD workstation (where I
have used IGNORE_RECOMMENDED for a long time). I have been an active user
of IGNORE_RECOMMENDED since it was available.

As suggested, I removed the documentation sentences suggesting bumping for
"security" issues.

As discussed on tech-pkg.

I will commit to revbump, pkglint, pkg_install, createbuildlink separately.

Note that if you use wip, it will fail!  I will commit to pkgsrc-wip
later (within day).
2006-04-06 06:21:32 +00:00
dmcmahill
6f6ce315f2 update to pcb-20060321
========================================================================
Release Notes for PCB snapshot 20060321
========================================================================
- Add internal support for more than 8 layers.  By default PCB is still
  compiled for 8 copper layers.
- Fixed several minor bugs in the GTK user interface including fixing
  scrolling of the log window, fixed up some of the DRC reporting
  and mm/mil units.
- Fixed several key bindings.
- Updated refcard.tex to reflect the current set of key bindings.
- Fixed some minor bugs which caused compilation problems on some
  systems.
- Converted the QFN footprints to hi-res.
- Added documentation to the manual for the centroid file format and
  the algorithm used for finding the centroid and rotation.
- Fixed a bug so that the initial layer named 'component' is actually
  on the component side of the board and 'solder' is actually on the
  solder side of the board.
- Fixed the pin order in the bourns trim pots (~bourns library)
- Added actions and a script to allow command line printing.
- Added IPC-7351 recommended footprints for 0201, 0402, etc passives.
- Fixed SME5 package.
- Fixed a bug which prevented disabling nets from the ratsnest
- Fixed a bug where clearances could be set 1 below the spacing
- Fixed clearline flag so that arcs also have this property.
- Speed up rtree searches and other autorouter speedups.
- Fixed a bug in computation of the closest polygon point.
- Use rtrees for polygon handling for speed improvements.
- Add a ChangePinName() action.
- Fix a bug which caused duplicate rats names when manually adding
  rats lines.
- Added DRC checks for minimum drill diameter and minimum annular ring.
- Add a minimal glossary to the manual
- Fix the author name in the fab drawing
- Cause the crosshair to snap to pad ends instead of center for rat
  drawing.
- Add "join" to SetFlag, ClrFlag and ChangeFlag.
- Added inline documentation for the pcb file syntax.  The manual now
  is up to date and correctly documents the file format.  In addition
  the inline documentation will make it much easier to maintain the
  documentation.
- Remove some broken m4lib footprints
- Put the correct setting for the gschem footprint= attribute in []
  in the library window for the m4 libraries.
2006-04-04 22:20:27 +00:00
jlam
792529759b * Honor PKGINFODIR.
* List the info files directly in the PLIST.
2006-03-30 03:44:41 +00:00
joerg
2ea4220459 Add DragonFly. Ensure that StatusBar has a non-inline constructor,
otherwise GCC might not create the type info and bail out during
linkage.
2006-03-28 20:42:48 +00:00
jlam
b3e53e3984 Use the tools framework to register a run-time dependency on wish (tk).
Bump the PKGREVISION to 3.
2006-03-17 23:02:16 +00:00
ghen
a527d84ce0 Convert all packages using REPLACE_INTERPRETER to the new variable names
without underscores (REPLACE.*.old, REPLACE.*.new, and REPLACE_FILES.*).

Also convert REPLACE.*.new= ${SH:Q} back to ${SH}, as it should not be quoted
here, if at all.

Ok with rillig.
2006-03-11 10:07:49 +00:00
jlam
6e0c050321 * Teach the tools framework how to supply the pkgsrc version of
makeinfo if no native makeinfo executable exists.  Honor TEXINFO_REQD
  when determining whether the native makeinfo can be used.

* Remove USE_MAKEINFO and replace it with USE_TOOLS+=makeinfo.

* Get rid of all the "split" argument deduction for makeinfo since
  the PLIST module already handles varying numbers of split info files
  correctly.

NOTE: Platforms that have "makeinfo" in the base system should check
      that the makeinfo entries of pkgsrc/mk/tools.${OPSYS}.mk are
      correct.
2006-03-05 16:27:22 +00:00
jlam
9c8b5ede43 Point MAINTAINER to pkgsrc-users@NetBSD.org in the case where no
developer is officially maintaining the package.

The rationale for changing this from "tech-pkg" to "pkgsrc-users" is
that it implies that any user can try to maintain the package (by
submitting patches to the mailing list).  Since the folks most likely
to care about the package are the folks that want to use it or are
already using it, this would leverage the energy of users who aren't
developers.
2006-03-04 21:28:51 +00:00
reed
afbc5ec7c4 Also allow Darwin to be a valid operating system -- by using "BSD".
This is from John D. Baker via PR 32924. I didn't test this myself.
2006-02-24 19:47:02 +00:00
joerg
7e5a3506a0 Add DragonFly support. Fix errno -- it is *NEVER* an int32. 2006-02-16 19:41:04 +00:00
wiz
eb0c65f224 Fix build on -current, and add missing -Wl,-R (should fix bulk build problem). 2006-02-16 18:06:46 +00:00
dmcmahill
5a2feb2261 Repair the version number for the dependency. Hi Joerg! 2006-02-11 02:40:49 +00:00
drochner
4b2f0c681d add gplcver and myhdl stuff 2006-02-10 17:07:54 +00:00
drochner
c62f699f8b import MyHDL-iverilog-0.5, an Icarus Verilog vpi module to support cosimulation
from py-MyHDL
2006-02-10 17:05:03 +00:00
drochner
5a092ba4c4 add one... 2006-02-10 16:53:36 +00:00
drochner
7ca32b2a06 import MyHDL-gplcver-0.5, a GPL Cver vpi module to support cosimulation
from py-MyHDL
2006-02-10 16:40:02 +00:00
drochner
2659fbc773 import GPL Cver 2.11a, another Verilog simulator 2006-02-10 16:37:51 +00:00
drochner
4ca0722c59 update to 0.5
major changes:
-supports Python decorator syntax for generators (needs 2.4)
-intbv() doesn't have a default anymore
-many improvements to Verilog conversion
2006-02-10 16:06:46 +00:00
dmcmahill
14f719ef98 Move a variable declaration to the beginning of the function. Should
keep gcc-2.95 happy.  Fix applied upstream too.
2006-02-06 22:23:29 +00:00
joerg
5911def816 Recursive revision bump / recommended bump for gettext ABI change. 2006-02-05 23:08:03 +00:00
rillig
23fc22fc06 Fixed pkglint warnings. 2006-02-03 01:58:43 +00:00
dmcmahill
d981e866dc add missing USE_LANGUAGES 2006-01-29 13:56:29 +00:00
dmcmahill
08b1b8acc7 - add missing USE_LANGUAGES (this pkg uses c and c++)
- require gcc>=3.0.  This should fix some recently noted build failures
  on 1.6.* systems.
2006-01-29 13:53:51 +00:00
joerg
b63a884731 Enforce GNU readline. 2006-01-25 22:21:09 +00:00
dmcmahill
7db586530d update to 20060124 snapshot.
A few new features have been added to allow proper simulation with
newer Xilinx UNISIM models. (They are starting to use Verilog 2001
features.) And also various bug fixes in this release.


-- Primitive and continuous assign delays can now be non-constant. This
   needed some new run-time support, so vvp had a slight format change,
   and certain new optimizations follow as a result.

-- Bug handling certain constant sub-expressions in concatenation
   expressions. Also, allow concat expressions in constant contexts.

-- Support for wide divide expressions.

-- Fixes for stubborn compilers.

-- Fix bugs in padding of signed expressions.

-- More fixes for following the data types of expressions.
2006-01-25 12:11:00 +00:00
dmcmahill
7effaf81bd Update to 20060123. Changes since the last version include
- added autobackup (saves to backup files every <n> seconds)
- added "L" drawing support
- added embedded picture support
- added a few new symbols
- added support for spice netlisting of Josephson junction devices
- added pcbpins netlist backend for forward annotating schematic pin
  names to PCB layouts.
- several odd bug fixes
2006-01-25 01:51:34 +00:00
wiz
768c589c29 Bump BUILDLINK_RECOMMENDED of textproc/expat to 2.0.0 because
of the shlib major bump.
PKGREVISION++ for the dependencies.
2006-01-24 07:31:52 +00:00
jmmv
d971a332da Drop maintainership. I can't seriously be the maintainer of so many
packages - specially of packages that I haven't touched for a long
while or those that other people can handle better than me.
2006-01-20 16:15:52 +00:00
joerg
d5a9cd32a0 Always include string.h, strdup works better that way. 2006-01-13 18:46:06 +00:00
joerg
685ad55c1d Don't define setenv on the other BSDs as well. 2006-01-10 10:59:05 +00:00
joerg
a9ef9e909b Regen patchsum. 2006-01-10 10:54:31 +00:00
dmcmahill
97c4011644 update to wcalc-1.0.
- fixes a bug in the cgi frontend for coupled microstrip which caused
  lots of bad warning messages.

- replaces the alert dialog box with a log window which prevents multiple
  alert windows from appearing.
2006-01-08 06:31:54 +00:00
joerg
94ccdd984d Add DragonFly support. Remove some pre-ANSI cruft which conflicts
with DragonFly's system headers. Fix errno.
2006-01-06 18:21:44 +00:00
joerg
4c67618270 Fix errno. Fix implicit prototype mismatches. Add DragnFly support.
On DragonFly, just fetch using the macros, e.g. using the slow path.

Use pkgsrc readline instead of local version. Bump revision.
2006-01-06 18:14:06 +00:00
joerg
ec3f48869b Always link the thread library explicitly when linking against qt-mt,
needed on DragonFly and FreeBSD 4, not hurting on other platforms.
Add DragonFly to the BSD enumeration.
2006-01-05 16:24:37 +00:00
joerg
cee3a6a417 Fix errno, don't declare sys_errlist on DragonFly as well. 2006-01-05 15:55:26 +00:00
ghen
455345bb5b Change the default for BUILDLINK_DEPMETHOD.qt3-tools from "full" to "build",
without affecting packages that are currently using it.

Packages which previously didn't set BUILDLINK_DEPMETHOD to neither "full" nor
"build" now set it to "full", but should be checked whether they really need it
(comment added).  Packages which previously set it to "build" now don't set it
anymore.

Ok by jlam, wiz.
2006-01-05 10:08:58 +00:00
joerg
88a53ac1d4 Don't use the local version if isnan is a macro. I don't want to replace
the stupid^Wbroken configure check at the moment, but this fixes the
problem.
2005-12-28 16:06:37 +00:00
joerg
8049758b07 Use hw.ncpu on DragonFly as well. 2005-12-28 14:17:57 +00:00
joerg
f406335744 Fix errno. 2005-12-28 14:09:27 +00:00
joerg
dbf98ecc89 Fix C99/GCC 3.4+ issues: C99 math macros, test for C99 math macros,
access of template members without template argument, explicit
constructor vs. copy constructor.
2005-12-20 12:02:15 +00:00
rillig
575137e256 Added a patch that prevents declarations if the identifier is already a
macro.
2005-12-18 21:08:55 +00:00
joerg
528a8c6ba1 Fix errno. 2005-12-13 15:44:12 +00:00
joerg
6e4a0dd799 Bump all motif packages for recent openmotif update. The major version
of the shared libXm has changed.
2005-12-12 21:36:54 +00:00
joerg
1f6a39a84e First step at reworking Linux binary packages.
Change most pkgs to depend on either
emulators/suse_linux/Makefile.application (normal pkgs) or
Makefile.common (suse91 and suse themselves) to filter out Operating
Systems without Linux ABI support. Use CPU masks to limit the pkg to
supported platforms.
2005-12-12 14:43:23 +00:00
joerg
914c39c83a Fix GCC 3.4+: label at end of compound statement. 2005-12-06 18:25:55 +00:00
rillig
579e977969 Ran "pkglint --autofix", which corrected some of the quoting issues in
CONFIGURE_ARGS.
2005-12-05 23:55:01 +00:00
rillig
b71a1d488b Fixed pkglint warnings. The warnings are mostly quoting issues, for
example MAKE_ENV+=FOO=${BAR} is changed to MAKE_ENV+=FOO=${BAR:Q}. Some
other changes are outlined in

    http://mail-index.netbsd.org/tech-pkg/2005/12/02/0034.html
2005-12-05 20:49:47 +00:00
dmcmahill
bb4776f468 override the do-patch target. This is just the meta-pkg and we're not
extracting anything, but the patches for the other wcalc packages all live
in this common spot.  Fixes recently noted build problems.
2005-12-04 15:49:45 +00:00
dmcmahill
605a7a7f3a Move the package which lived in cad/pcb-current over to cad/pcb and
delete the old cad/pcb package.  The package which has lived in cad/pcb
for the last few years was horribly out of date and pcb is not making
a distinction right now between -current snapshots and normal releases.
2005-12-03 04:18:59 +00:00
joerg
365728862b DragonFly is a BSD. 2005-12-03 02:37:28 +00:00
dmcmahill
0651448b8c Fix up this package so it actually builds and works.
Note that this package still only works on systems with
a native (not emulated) matlab.
2005-12-02 00:00:18 +00:00
dmcmahill
858393c40b define a common patch directory for the wcalc packages 2005-12-01 23:58:16 +00:00
jlam
e6e49a2f0f Change all instances of including mk/ghostscript.mk into asking for
the appropriate tool via USE_TOOLS (usually "gs:run"), and remove
ghostscript.mk.  This change removes a rather out-dated file from
pkgsrc and switches packages to use the more compact implementation
of the Ghostcript-handling inside the tools framework.
2005-11-28 06:41:20 +00:00
rillig
12daf16f35 Fixed pkglint warning by adding a trailing slash to the HOMEPAGE. 2005-11-20 14:15:39 +00:00
rillig
0b4a114e20 Fixed pkglint warning:
- WARN: boolean/Makefile:21: GCC_REQD should be modified using "+=".
2005-11-20 14:10:31 +00:00
rillig
a0fc06e2f4 Use "+=" with PKG_FAIL_REASON. While here, add the missing trailing
string terminator.
2005-11-20 14:07:11 +00:00
rillig
b1442090de Removed trailing white-space. 2005-11-20 14:04:54 +00:00
dmcmahill
63b4aa96f2 require a recent texinfo. should fix recent NetBSD-1.6 build problems 2005-11-19 20:38:30 +00:00
rillig
0ff616ddb0 Fixed pkglint warnings:
- Replaced #defined with #none for EXTRACT_ONLY.
- Replaced #defined with yes for NO_BUILD, NO_CONFIGURE, NO_MTREE.
- Removed NO_CHECKSUM completely, as a distinfo file exists, it has the
  correct checksums, and I couldn't find even a hint to why NO_CHECKSUM
  was set.
2005-11-19 15:37:08 +00:00
dmcmahill
3fa39df7a4 update to dinotrace-mode-9.3a to keep in sync with dinotrace.
Revision history for Dinotrace

The contributors that suggested a given feature are shown in [].

* Dinotrace 9.3a 06/13/2005

***	Fix too small buttons under openmotif.

***	Fix missing keyboard accelerators under openmotif.

* Dinotrace 9.2b 05/03/2005

***	The default extension for Verilog dumps is now .vcd instead of .dmp.

****    Fix traces containing only real numbers.  [Vitor Antunes]

****	Fix segfault when doing signal adds.  [Guy Hutchinson]
2005-11-18 03:58:54 +00:00
dmcmahill
fec1eba536 update to dinotrace-9.3a
Revision history for Dinotrace

The contributors that suggested a given feature are shown in [].

* Dinotrace 9.3a 06/13/2005

***	Fix too small buttons under openmotif.

***	Fix missing keyboard accelerators under openmotif.

* Dinotrace 9.2b 05/03/2005

***	The default extension for Verilog dumps is now .vcd instead of .dmp.

****    Fix traces containing only real numbers.  [Vitor Antunes]

****	Fix segfault when doing signal adds.  [Guy Hutchinson]
2005-11-18 03:58:06 +00:00
dmcmahill
ad86e2085c update to ng-spice-17
Changes are:

Ng-spice-rework-17
============
This is a bug fix release. Previous release tarball did not included
an include file necessary for compiling numparam library.


Ng-spice-rework-16
============

Rework-16 comes out after almost one year of CVS development (from
15-fixedRC3). This release improves ngspice in three ways:

- Bug fixing: most of the bugs that affected rework-15 have been fixed,
    thus ngspice is more stable, especially the xspice extension, the
    subcircuit (X devices) handling and the numparam library.

- New features: netlist syntax has been expandend allowing for end-of-line
    comments. A ".global" card has beed added to define global nodes, i.e.
    nodes that are not expanded in subcircuits. It is possible to define TC
    for resistors on the instance line. The editline library can be used
    instead of readline (no more GPL license violation).

- Porting: ngspice now works (with xspice extension) on Windows using
           MINGW/MSYS.
2005-11-17 13:17:56 +00:00
tonio
c27f4ed5e1 Rename LATEX_ACCEPTED and LATEX_DEFAULT to TEX_ACCEPTED and TEX_DEFAULT
It is more consistent with the tex.buildlink3.mk name. Also, if a package
really needs latex, it just has to set TEX_ACCEPTED to latex distributions
altough today, all TEX_ACCEPTED possibilities are latex distributions
2005-11-11 18:50:23 +00:00
tonio
075770224f convert ot use tex.buildlink3.mk.
use LATEX_DEPMETHOD=build
2005-11-08 17:50:41 +00:00
tonio
68f7965ebe convert to use tex.buildlink3.mk
use LATEX_DEPMETHOD=build, and allow version 1,2 and 3 of teTeX
2005-11-08 17:49:19 +00:00
rillig
a83d88938f Fixed wrong usage of WRKSRC. 2005-11-03 19:56:36 +00:00
wiz
751a009b64 Add and enable libwcalc. 2005-11-03 06:25:53 +00:00
dmcmahill
e0ee66f5e8 add stdio-wcalc 2005-11-01 12:08:50 +00:00
dmcmahill
3a58e8b57a import stdio-wcalc-0.9 which was missed with the rest of the wcalc import.
This is the stdio frontend for the Wcalc transmission
line analysis/synthesis calculator.
2005-11-01 12:08:10 +00:00
dmcmahill
3b98ce3f69 add *wcalc* 2005-11-01 03:57:26 +00:00
dmcmahill
a0a61dd21d import mex-wcalc-0.9
This is the Matlab frontend for the Wcalc transmission
line analysis/synthesis calculator.  You will need a working
matlab installed on your system.  In addition, currently
only a native (non-emulation) matlab is supported.
2005-11-01 03:55:38 +00:00
dmcmahill
fe9c2fe65f import wcalc-0.9
Wcalc is a transmission line analysis and synthesis tool.  Several
structures including air core solenoid inductors, coaxial cable,
single and coupled microstrip, stripline, and metal-insulator-
semiconductor microstrip are included.

Wcalc can analyze the electrical parameters for a given physical
description of the structure or synthesize the required dimensions
to meet certain desired electrical characteristics.

Wcalc provides several different frontends for accessing the numeric
engine.  Currently, there is a GTK based standalone graphical
user interface, a common gateway interface (CGI) for web access,
Scilab, Octave, and Matlab interfaces for maximum flexibility within
a scientific programming environment, and a standard input/output
(stdio) interface which allows a simple interface to other 3rd
party tools which can communicate via a pipe.

The different frontends are installed as different packages for
flexibility in deployment.
2005-11-01 03:54:44 +00:00
dmcmahill
eff60cfd80 import wcalc-docs-0.9
This is the web site including documentation and F.A.Q. for the
Wcalc transmission line analysis/synthesis calculator.
2005-11-01 03:53:55 +00:00
dmcmahill
82979247a2 import oct-wcalc-0.9
This is the Octave frontend for the Wcalc transmission
line analysis/synthesis calculator.
2005-11-01 03:53:18 +00:00
dmcmahill
08d28847da import sci-wcalc-0.9
This is the Scilab frontend for the Wcalc transmission
line analysis/synthesis calculator.
2005-11-01 03:52:50 +00:00
dmcmahill
872fd16683 import cgi-wcalc-0.9
This is the common gateway interface (CGI) frontend for the Wcalc
transmission line analysis/synthesis calculator.
2005-11-01 03:52:11 +00:00
dmcmahill
f1e2357733 import gtk2-wcalc-0.9
This is the gtk2 based frontend for the Wcalc transmission
line analysis/synthesis calculator.
2005-11-01 03:51:12 +00:00
dmcmahill
699c99a164 import gtk1-wcalc-0.9
This is the gtk1 based frontend for the Wcalc transmission
line analysis/synthesis calculator.
2005-11-01 03:50:21 +00:00
dmcmahill
c573c962e6 import libwcalc-0.9
This is the library for wcalc which contains all of the numerical
backend routines and models.

Wcalc is a tool for the analysis and synthesis of transmission
line structures and related components.  Wcalc provides the
ability to analyze the electrical parameters of a particular
structure based on the physical dimensions and material parameters.
The synthesis portion calculates the required physical parameters
to meet desired electrical specifications.  Wcalc includes several
models and places an emphasis on accuracy.  Several frontends
provide the user with several options for its use.
2005-11-01 03:49:19 +00:00
tv
97b7102961 Don't build/install the catpage; not all systems have nroff on hand. 2005-10-31 18:22:14 +00:00
tv
c90d5ff6f1 Make "find" command in tests/find-zero-length.test more correct. 2005-10-31 18:09:42 +00:00
itohy
16d5846573 Make this compile using gcc 2.95. 2005-10-28 03:53:33 +00:00
rillig
54a55d0b58 Removed empty line. 2005-10-24 20:45:28 +00:00
rillig
8aae869b4c Use "+=" instead of "=" for CONFIGURE_ARGS and LIBS. As buildlink3
automatically strips off -L${LOCALBASE}/lib, we don't even need to try
to use it.
2005-10-23 22:24:11 +00:00
wiz
1875ea9eae Use simian-license.
Distfile is automatically fetchable, remove INTERACTIVE_STAGE setting
and fetch message.
2005-09-29 15:04:21 +00:00
rillig
5946936ffc Replaced "# defined" with "yes" in Makefile variables like GNU_CONFIGURE,
NO_BUILD, USE_LIBTOOL.
2005-09-28 20:52:18 +00:00
dmcmahill
b7d3653ce2 repair compilation on netbsd/alpha (and probably others too) 2005-09-24 02:09:41 +00:00
minskim
b9fe6148b5 Use endian.mk to build on more platforms. 2005-09-22 15:28:35 +00:00
dmcmahill
5e26d7df9f this package uses c++ so indicate that. 2005-09-21 23:17:20 +00:00
dmcmahill
026db1426d require gcc3 2005-09-11 12:42:46 +00:00
dmcmahill
128c3c24a3 fix syntax error when using older (before gcc3) compilers 2005-09-09 12:07:23 +00:00
dmcmahill
d571ee5fd2 use tar for the install instead of pax. Some versions of pax complained
when the destination directory did not exist first and others complained
when it did exist first.
2005-09-08 18:25:30 +00:00
uebayasi
aa8e85bcfd Rework emacs.mk:
1) Simplify the way how an emacs version is picked when no emacs
   is installed, but a user try to install an Emacs Lisp package.
   Just pick up the version set as EMACS_TYPE than searching for
   versions already installed etc.  If the EMACS_TYPE version is
   not supported by the Emacs Lisp Package, just fail.  EMACS_TYPE
   be default to GNU Emacs 21.

   (In other words, users should set EMACS_TYPE as they want.
   Otherwise GNU Emacs 21 is used.)

2) All Emacs Lisp Packages *must* prepend EMACS_PKGNAME_PREFIX to
   a) the PKGNAME itself, and b) PKGNAME in its dependency lines.
   EMACS_PKGNAME_PREFIX is expanded to "xemacs-" when XEmacs is
   used.  This keeps dependency graph of Emacs-Lisp-packages-
   installed-for-XEmacs consistent.

3) Document EMACS_* variables as much as possible.

4) Provide more cookies for PLIST.  Maybe utilized later.

Note that the 2) change doesn't affect the default, GNU Emacs 21
behaviour.  So no version / revision bumps in this commit.
2005-08-28 04:25:28 +00:00
dmcmahill
c56821cfee add missing USE_PKGLOCALEDIR. Noted by Matthew Luckie 2005-08-27 13:22:42 +00:00
dmcmahill
798cb71f5a add missing patch file 2005-08-24 11:30:18 +00:00
dmcmahill
9df7ebab6f patch-aa no longer used 2005-08-24 11:29:12 +00:00